MIME-Version: 1.0 Content-Type: multipart/related; boundary="----=_NextPart_01C46FCF.F771F2D0" This document is a Single File Web Page, also known as a Web Archive file. If you are seeing this message, your browser or editor doesn't support Web Archive files. Please download a browser that supports Web Archive, such as Microsoft Internet Explorer. ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_document.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program <body> <p>This page uses frames, but your browser doesn't support them.</p> </body> ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/preview.wmf Content-Transfer-Encoding: base64 Content-Type: image/x-wmf AQAJAAADwgMAAAcATgAAAAAABAAAAAMBCAAFAAAACwIAAAAABQAAAAwCeQChAAMAAAAeAAcAAAD8 AgAA////AAAABAAAAC0BAAAIAAAA+gIFAAAAAAD///8ABAAAAC0BAQAOAAAAJAMFAP///////3gA oAB4AKAA////////CAAAAPoCAAAAAAAAAAAAAAQAAAAtAQIABwAAAPwCAAD///8AAAAEAAAALQED AAQAAAAnAf//BAAAAPABAAADAAAAHgAHAAAA/AIAADNmZgAAAAQAAAAtAQAABAAAAAYBAQAEAAAA LQEBAE4AAAAkAyUACwAGAAoABgAIAAcABwAIAAYACQAFAAoABAALAAQADAAEAA4ABABhAAQAYwAE AGQABQBlAAYAZwAHAGgACABoAAoAaQALAGkAlABpAJUAaQCXAGgAmABoAJkAZwCaAGUAmwBkAJsA YwCcAGEAnAAOAJsADACbAAsAmgAKAJkACQCYAAgAlwAHAJUABgCUAAYACwAGAAQAAAAtAQIABAAA AAYBAQAEAAAALQEDAAQAAAAnAf//BAAAAPABAAADAAAAHgAHAAAA/AIAAP///wAAAAQAAAAtAQAA BAAAAAYBAQAEAAAALQEBADoAAAAkAxsACwAIAAoACAAJAAkABwAKAAYADAAFAA0ABQAOAAUAVQAF AFcABgBYAAcAWgAJAFsACwBcAJMAXACVAFsAlwBaAJgAWACZAFcAmQBVAJkADgCZAA0AmAAMAJcA CgCVAAkAlAAIAJMACAALAAgABAAAAC0BAgAEAAAABgEBAAQAAAAtAQMABAAAACcB//8DAAAAHgAE AAAALQEAAAQAAAAGAQEABAAAAC0BAQA+AAAAJAMdAB4AOgAdADoAHAA7ABkAPAAYAD4AGAA/ABgA QQAYAFsAGABdABgAXgAZAGAAHABhAB0AYgAeAGIAgQBiAIIAYgCDAGEAhgBgAIcAXgCHAF0AiABb AIgAQQCHAD8AhwA+AIYAPACDADsAggA6AIEAOgAeADoABAAAAC0BAgAEAAAABgEBAAQAAAAtAQMA CAAAAPoCAAABAAAAzMyZAAQAAAAtAQQABwAAAPwCAQAAAAAAAAAEAAAALQEFAD4AAAAlAx0AHgA6 AB0AOgAcADsAGQA8ABgAPgAYAD8AGABBABgAWwAYAF0AGABeABkAYAAcAGEAHQBiAB4AYgCBAGIA ggBiAIMAYQCGAGAAhwBeAIcAXQCIAFsAiABBAIcAPwCHAD4AhgA8AIMAOwCCADoAgQA6AB4AOgAE AAAALQECAAQAAAAtAQMABAAAAPABBAAEAAAAJwH//xwAAAD7Avf/AAAAAAAAkAEBAAAAAEAAIkFy aWFsIEJsYWNrAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAC0BBAAEAAAALgEYAAQAAAACAQEABQAA AAkCM2ZmAiIAAAAyCiYAHQASAAAAQW4gZXhhbXBsZSBQcm9ncmFtBwAGAAMABgAGAAYACQAGAAMA BgADAAcABAAGAAYABAAGAAkABAAAAC4BAAAcAAAA+wIQAAcAAAAAALwCAAAAAAECAiJTeXN0ZW0A AAAAAAAAAAAAGAAAAAIAAAB4NxUA5AQAAAQAAAAtAQYABAAAAPABBAAcAAAA+wL5/wAAAAAAAJAB AQAAAABAACJBcmlhbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAAAAtAQQABAAAAC4BGAAE AAAAAgEBAAUAAAAJAgAAAAIcAAAAMgpJACYADgAAAHN3aXRjaCByZWFkaW5nBAAGAAIAAQAEAAQA AgACAAQABAAEAAIABAAEAAQAAAAuAQAABAAAAC0BBgAEAAAA8AEEABwAAAD7Avn/AAAAAAAAkAEA AAAAAEAAIkFyaWFsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAC0BBAAEAAAALgEYAAQA AAACAQEABQAAAAkCAAAAAhgAAAAyCkkAVQALAAAALCB0aGUgbW9zdCBpAgACAAIABAAEAAIABgAE AAQAAgACAAQAAAAuAQAABAAAAC0BBgAEAAAA8AEEABwAAAD7Avn/AAAAAAAAkAEAAAAAAEAAIkFy aWFsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAC0BBAAEAAAALgEYAAQAAAACAQEABQAA AAkCAAAAAicAAAAyClEAKwAVAAAAYmFzaWMgY29tcHV0ZXIgaW5wdXQgAAQABAAEAAIABAACAAQA BAAGAAQABAACAAQAAgACAAIABAAEAAQAAgACAAQAAAAuAQAABAAAAC0BBgAEAAAA8AEEABwAAAD7 Avn/AAAAAAAAkAEAAAAAAEAAIkFyaWFsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAC0B BAAEAAAALgEYAAQAAAACAQEABQAAAAkCAAAAAhUAAAAyCloAPgAJAAAAb3BlcmF0aW9udAQABAAE AAIABAACAAIABAAEAAQAAAAuAQAABAAAAC0BBgAEAAAA8AEEAAMAAAAAAA== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_next_active.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHgASAKIAAP///8DAwJT//4SEhCnO/ylj/wAAAAAAACH5BAEAAAEALAAAAAAeABIAQAMy GLrc/hAaQYuJOGtYut+ZIY5gaYZASlynUxCw1c50bW8Ttd5LLuy3V4xF83SIvKQymQAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_next_disabled.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHgASAJEAAP///8DAwISEhAAAACH5BAEAAAEALAAAAAAeABIAQAIpjI+pyywPm5yUjYvr hBHoDzbcEy6jEHjlyraudL5H/MZqabvAzsu+XAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_prev_active.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHgASAKIAAP///8DAwJT//4SEhCnO/ylj/wAAAAAAACH5BAEAAAEALAAAAAAeABIAQAM0 GLrc/pAVIqqJOOu9iv8cZoxkaJ4SAawX2hgTQbRubd84NFUC7e6WHEw2yylgH59xyXQlAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_prev_disabled.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHgASAJEAAP///8DAwISEhAAAACH5BAEAAAEALAAAAAAeABIAQAItjI+pyzgCYQCt2ovD 2DzXGHni6IDCRCLmmbbuCzcPiKZzSLlrbOw8AAzyhqQCADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_outline_collapse.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhFwASAKIAAP///8DAwISEhDFj/wAAnAAAAAAAAAAAACH5BAEAAAEALAAAAAAXABIAQANG GLrczgTISeWIkrzNVywFAFak5pHkNZkK4b5wDENiOKIrjQND30esAGZX6hgdQyIlmFRmdM7ciejD MJ2q55Q6ZMq+saM4AQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_outline_expand.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhFwASAKIAAP///8DAwISEhDFj/wAAnAAAAAAAAAAAACH5BAEAAAEALAAAAAAXABIAQANK GLrczgSUIim4d8RLnv9LNFVYWXahqQ7shipELM/0DGHUNKrAG2ySyg6gwfiAPJ4PxIQln8dnMipV UaVFzu3J6kauXOCxRqY1zwkAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_space.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAQABAPcAAAAAAIAAAACAAICAAAAAgIAAgACAgICAgAQEBAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAMDAwP8AAAD/AP//AAAA//8A/wD//////yH5BAEAAPcALAAAAAABAAEA AAgEAO8FBAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_endshow.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252"


End of slide show, click to exit.

------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_navigation_bar.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252"
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_outline_navigation_bar.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252"
3D"Collapse3D"Expand
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_outline_collapsed.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252"

An example Program<= /a>

Keyboards

scanning

ports

Port scanning

The problem=

Need to de-bounce switches

use of a shift regi= ster

software debounce

brute force approac= h

Slide 11

Slide 12

Slide 13

Slide 14

LED driving=

Use of a diode matr= ix

multiple LEDs on at= once?

Tristate Logic<= /b>

Slide 19

Timers

PIC timers<= /font>

timer0

prescaler logic=

10 ms

InitTimer

Register banks<= /b>

status reg ( reg 3)=

intcon reg (reg 11)=

using the t01f flag=

timer 1

timer init routine<= /a>

Sensing the switch<= /a>

Control of I/O<= /b>

GPIO ( General Purp= ose I/O)

reading or writing = GPIO

TRISIO( Tristate I/= O)

How to Initialise G= PIO

Slide 38

Slide 39

Slide 40

Define entry point<= /a>

Initialise i/o<= /b>

Main program

Toggle LED subrouti= ne

Test state<= /font>

Debounce routine

------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_outline_expanded.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252"

An example Program<= /a>
switch reading, the= most basic computer input operation

Keyboards
A computer keyboard= typically uses a microprocessor to scan the switches. Similarly in remote control u= nits for TVs etc.

scanning
one column at a tim= e has a voltage applied. If a switch on the column is pressed the corresponding r= ow has the voltage

ports
This requires some = of the lines on the MPU to be configured as input or output lines. These collections of wires are termed ‘ports’.

Port scanning
Typically each port= is several bits wide, we use a shifting bit to scan
outport:=3D1                                       = --- set col 1 on
repeat
if inport>0 the= n processs switch
   outport:=3Doutport+outport;             --- shift column bit up
until outport>32=

The problem=
Mechanical switches= play an important and extensive role in practically every computer, microprocessor and microcontroller application. Mechanical switches are inexpensive, sim= ple and reliable.
In addition, switch= es can be very noisy. The apparent noise is caused by the closing and opening action that seldom results in a clean electrical transition. The connection makes and breaks several, perhaps even hundreds, of times before the final swit= ch state settles.

Need to de-bounce switches
The consequences of= uncorrected switch bounce can range from being just annoying to catastrophic. For example, imagine advancing the TV channel, but instead of getting the next channel, the selection skips one or two. This is a situation a designer s= hould strive to avoid.

use of a shift regi= ster

software debounce
Consider a simple p= ush button application. In this case, some event should occur when a button is pushe= d. Ideally the invoked event will occur immediately, and only once for each button push. The system should be also ready to respond to a repeat butto= n push as soon as possible after the button is released. This presents an appare= nt dilemma.
How is the differen= ce between switch bounce and repeated button pushes determined?

brute force approac= h
Assume that the bou= nce period is less than 10 milliseconds.
If the switch input= level is stable for longer than 10 milliseconds, then bouncing has stopped and the input level represents the pushed or released switch state.
The Brute Force met= hod only cares about a button-push event because this is what invokes the action. = It recognizes the switch release state as the stable state and everything el= se is considered unstable.
When the switch bec= omes unstable, the action is invoked permitting nothing to happen until the sw= itch returns to the released stable state.

Slide 11

Slide 12

Slide 13

Slide 14

LED driving=
The board has 8 LED= s
It has only 4 input= output pins available to drive them
This would imply th= at it could drive only 4 LEDs,
How does it manage = it ?

Use of a diode matr= ix

multiple LEDs on at= once?
How could we get LE= D D0 and D1 on at the same time?
Electrically this is impossible.
We can fool the hum= an eye though, by rapidly flashing the two LEDs thousands of times a second.
It then looks as th= ough both are on all the time.

Tristate Logic<= /b>
Normal logic uses t= he convention that high voltage is 1 and low voltage is 0.
You will all recall= that in addition to high an low outputs, a pin can be TRISTATE
Tristate is needed = in order to drive the LED matrix.

Slide 19

Timers
A timer is basicall= y a counter operated by the processor clock. It can count down a fixed number of clock cycles.
Almost all micro co= ntrollers have built in timers.

PIC timers<= /font>
3 timers
Watchdog timer used= to wake from sleep
8 bit TIMER0=
16 bit Timer 1

timer0
This is in register= 1, it counts instruction cycles
Its rate of countin= g is controlled by the bottom 3 bits of the option register, which is register= 81
Option value   count rate
n                     1/2n+1<= /font>
                  1/128
the maximum option = value is 7

prescaler logic=

10 ms
We want to wait 10 = ms.
Since the instructi= on cycle of the chip is 200ns, this amounts to 50,000 instructions.
50000=3D c350 hex
If we set the presc= aler to divide by 256, then when the timer reaches c4 hex we have waited just over 10ms

InitTimer
Set the option regi= ster
Set the timer regis= ter.
Problem the option = register is register 81hex, we can only address registers 0 to 7Fhex with normal instructions

Register banks<= /b>
Processor has two b= anks of registers, bank0 and bank1.
Bank0 for normal us= e, bank1 contains special control registers.
Which one you acces= s is controlled by the status register

status reg ( reg 3)=
C =3Dcarry flag
z =3D zero flag
PD,TO give cause of= timeout interrupts
RP0  selects the register bank
to select bank 1 use instruction
BSF 3,5  ; set bit 5 of reg 3
to select bank 0 use instruction
BCF 3,5  ; clear bit 5 of reg 3

intcon reg (reg 11)=

using the t01f flag=
This flag is set wh= en the timer wraps round.
we want to count C4= =3D196 cycles
if we initialise th= e timer to 256-196=3D60
then it will wrap r= ound at the right time

timer 1
This is a 16 bit ti= mer that counts one step each instruction. Its low byte is in reg 0Eh and the high byte in register 0Fh
It is controlled by= register 10h ( T1CON)
To switch it on set= bit 0 of T1CON
When it wraps round= it sets bit 0 of register 0Ch
This is much simple= r to use for large counts

timer init routine<= /a>
inittim        ; initialise timer
  clrf TMR1L   ; TMR1L defined as 0e
    movlw 03ch   ;
  movwf TMR1H  ; set the timer to 3c00
  bsf T1CON,0  ; switch it on
    bcf PIR1,0   ; clear the finish flag
    return

Sensing the switch<= /a>
Register 5 , also c= alled GPIO is mapped to the i/o pins on the chip
We can test the swi= tch by monitoring GPIO bit 3, to which pin 4 ( switch input) is connected=

Control of I/O<= /b>
GPIO is an 6-bit wi= de, bi-directional port.
The corresponding d= ata direction register is TRISIO. Setting a TRISIO bit (=3D 1) will make the corresponding GPIO pin an input (i.e., put the corresponding output drive= r in a tristate mode).
Clearing a TRISIO b= it (=3D 0) will make the corresponding GPIO pin an output (i.e., put the contents of= the output latch on the selected pin).
The exception is GP= 3, which is input only and its TRISIO bit will always read as ‘1’.

GPIO ( General Purp= ose I/O)

reading or writing = GPIO
Reading the GPIO re= gister reads the status of the pins, whereas writing to it will write to the port latc= h.
All write operation= s are read-modify-write operations.
Therefore, a write = to a port implies that the port pins are read,this value is modified, and then writ= ten to the port data latch.

TRISIO( Tristate I/= O)

How to Initialise G= PIO
#define STATUS 3
#define RP0    5
bcf STATUS,RPO     ;Bank 0
clrf GPIO         ;Init GPIO
movlw 07h         ;Set GP<2:0> to
movwf CMCON       ;digital IO
bsf STATUS,RP0    ;Bank 1
clrf ANSEL        ;Disable analog input
movlw 0Ch         ;Set GP<3:2> as inputs
movwf TRISIO      ;and set GP<5:4,1:0>=
                  ;as outputs

Slide 38

Slide 39

Slide 40

Define entry point<= /a>
ORG     0x000  ; processor reset vector
goto    Init        ; go to beginning of prog
;Interrupt Vector
ORG 0x004
return        ; interrupt trap
                             ; - returns without re-enabling

Initialise i/o<= /b>
Init
call 0x3FF     ; retrieve factory calibration v= alue
     ; comment instruction if using simulator
BANKSEL Bank1
movwf OSCCAL   ; update register with factory cal= value
movlw D0_1Tris     ; set direction so LEDs D0, D1 a= re outputs
movwf TRISIO ; al= l others are inputs (high-z)
clrf ANSEL ; con= figure A/D I/O as digital
banksel Bank0 ; c= hange back to PORT memory bank
movlw CM2 | CM1 | = CM0 ; comparator digital I/O
movwf CMCON=
clrf Flags ; set= initial LED state as off
call ToggleLED ; = light initial LED
     goto Main

Main program

Toggle LED subrouti= ne
ToggleLED
btfss LEDOn ; tes= t flag of present LED condition
goto TurnLedOn ; t= he LED is presently off - go turn it on
TurnLedOff
bcf LEDOn ; clear= flag to indicate LED is off
movlw D0Off ; data= for all LED outputs low
movwf GPIO ; send = data to GPIO port
return ; return t= o calling routine
TurnLedOn
bsf LEDOn ; set f= lag to indicate LED is on
movlw D0On ; data = to forward bias LED0
                                           ; and reverse bias LED1
movwf GPIO ; send = data to GPIO port
return ; return t= o calling routine

Test state<= /font>
btfss LEDOn=
goto TurnLedOn
   goto      TurnLedOff
The first instructi= on skips the following instruction if the LEDOn flag is set.
Thus if it is not o= n, the goto TurnLedOn instruction is executed, if it is on, the mpu goes to TurnLedOf= f

Debounce routine
SwitchDebounce
    call inittim
SD2
btfss 5,3      ; test SWITCH input
goto SwitchDebounc= e  ; SWITCH was low - reset timer=
btfss PIR1,0                ; wrap round?
goto SD2      ; not counted to zero yet, continu= e
return      ; full countdown
                                        ; and no bounces – exit
END     ; directive 'end of program‘=
                                ; this = must terminate code

------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_blank_notes.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master04.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii"
Click to edit Master title style
Click to edit Master text styles
Second level
Third level
Fourth level
Fifth level
‹date/time&= #8250;
‹footer›
‹#›
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master04.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master05.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii"
Click to edit Master title style<= /div>
Click to edit Master subtitle sty= le
‹date/time&= #8250;
‹footer›
‹#›
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master05.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master02.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii"
‹header›
‹date/time›=
‹footer›
‹#›
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/pres.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0001.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
An example Program
<= /td> <= /td> = <= /td>
switch reading, the most
basic computer input
= operation
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_master05_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBgQAAADNmZszMmf///wL/nI+py+0Po5y02ouz3rz7D4biSJbmiabqyrbuC8fyTNf2jef6zvf+ DwwKh8Si8YhMKpfMpvMJjUqn1Kr1is1qt9yu9wsOi8fksvmMTqvX7Lb7DY/L5/S6/Y7P6/f8vv8P GCg4SFhoeIiYqLjI2BgVABkpOUlZaXmJmam5ydnp+QkaKjpKWmp6ipqqesmz6voKGys7S1tre4vL WpPL2+v7CxwsPEw6Q3yMnKy8zNwcAOMcLT1NXW1N2dLpuC34uYLJHZ64maIrfn6oeWKJ3j4OTsLu Pm+YGY9Nn19oDlKp/0+IXwd/AAsCgucBn8GFfQRuUMgwYh55AydJvDiR4kNJ/xg73tGowaLHkXJA YoBIMuUahxZEqny5kmBIjjBrojHZkqbNnWNwVnDJM6gXnxSACj2ahegEo0ibUlEqganTqU+gRpBK NasSqxCwav1ahOsDr2DLAhHrgKzZtTvQNlDLNq4Ntwzgyr0bg+4Cu3j7stCrgK/fwetkZhBMOLEI wAkQK35cEeUFx5ArnzR8OZLlzSUYI6DMOfRYzJN1ij49U3JOzahbZwbd2LTr2VdJr4ZEO3dt1T9l 6/792XZv1sCLH/B83Ldx3cgNwF7+uPmA59ATS6deffB15dlbbyfenfZ33OFzj39WXrzwotzTcz7v fjb8+N7XL21PH/L8/KL38/9/b19U+P1HmH8EVmbggdEFuBt5CiLIYFcDPnhXghRqF+Fo4F1YYIZp TcjhWhaGWKGHb4FIIlgjpsjWiiya5eKLKppYF4oyThXjjVnlqCOONO5lY49H8SgkUkQWKdSRSPKk 5JI2NekkTFBGqdKUVJJk5ZUeZaklRlx2KdGXYDIk5pgGlWkmQGimqc+abNLj5pvuxCknOnTWKc6d eHKj556O9OknI4AGqsighCJi6KH1/BhYkIrmk+ijg0QqaSCUVvrHpZg2xGhsG266kKag6iHqqHiU aqodqKZKx6qsltRpcJ++Cmesyc1K65y2Oudorou46msbwAYbE2/s4UpsOMP/JnvTrtP1yuw+zmIX babTQlttN9cim+2v2zrYLZ/foheuuMbex2256YyrrrnUUtvuR+zG28iy9HJh771a5KsvFvz2a8W/ AD8178CLnitgugbzIfDCUDTssBMQR8zExBRvVfDF1iLcILka+2Hxx0aELDIRJJcsxMkon5Xxyqe2 7LKqMMfc6sw0w8qxhArfzIbKPOfg8883BJ2AAEYfjXTSSi/NdNNOPw111FJPTXXVVl+NddZab831 0SPb7EPXYo9Ndtlmn4122mpLPUTQa78Nd9xyz0133UkHofLS+urtw8l3L/x3K2DfgLTGhQues4bg 7nC44V63NTgNjX88+dCR/8tQOeWP4wBx5iJ7LkPnRvO8+VyXv1A6zakbc7oLq7v8el6tsxA77KOb nviHO7dQ+8q9ZzP7Cr+XPPw3wadQPPG30/Bv8p8vz3ruJ+4uPPRCO1+Y9DVSrwL2jguA+7vYluD9 xeXfoz2Q3CNv/c/nj9B8+6TL/0L84At9wPuLHY+C/oDT7wL74S9/AARe+hq1vv4V0Hb3Yx7/TuA/ g0XwAwIc4AAmmJAHmgCD/eIgByo4QA9u5ICeWpzkFug7FKoAhPgTYWrEl0AIqlB5DYweDE04AxfG S4evGd+tcIi5GT6vhqHTIPmEqDkiyo6EsgJiDHioLijexoe8iuEGkfi98P9R8VlWPKIS5/fF+hmR BFIMVxmPdUOP1eCM2WJjwtKYAzdGS446gyMO6JgsPOrOjoTDovn8iD4+2kCPwSLk9gS5RkBGzJDq Q+QJw6g6Re6PiT9U4yMteEFJhoCF19NkP8Y4AkbSSpQl3CK8QEBKVqWykl2sZBw9ObBVVtGROYQl wGTJRVoGEZIxwyUn3WfLyOjyicHcWzFHOEwY4BJUvgSlCJa5qWZScpaWrCUvGajFVlLzlddMYTdX 6MwQQBNT0kwm6o5Jr3KacoviROcO3dlDbeaymrvEpDrlecoPjLNS93Qiuvx5zm/SMJsA7Rg37QnP 0kxznge1YD/piUaIKjP/oeV66AfZiUqKmlGjEcUnRvXJ0TaG9I3rlGc7BTpEgkqUpA0N4Ug75lGT ZhSlSVTpRWUKUppmcRfhnClCddqZnub0pzZF5kpd91JmWdSoLW1hUhVX0oLy7qnEWuoLjzpVoFLM qof5qAf2KSmu9rCpndQq/IT6VaoWUq2HjCpWacfWXIlVoVKFq1kdNtcpvrV7cR1lX0sZU5x2AKyP kiVLhiNYDhBWUYY9T13Zd9f/RZaCjt2rAicby79SM42JzcBiD5VKexjVq57VbKpCi5CuOmuwpjUV KdUxWtJe4LOEEiU5YivbCtA2UIzkxE1Tm1WiBtC3uF0oBnbrJz16o7ir/9Vta0dFx1D8Vht8xewt Q1oM5goDuXs6mjOmmwzu4sm7zQAvMsRbJ/Iyw7zHQK+c1LsM9rbXuh0UQDTkSwz3pgm+8dXudp9L TqPd17//pW+7+Nvfqy5Dv2BCsDLwO18Db9S+0oBwfhlMJQc/mMDDwLCTNLxhBTfDw0UCcYhVOw3Q SZbC07BweEnMoqRZw8UvBvCYkHYNy7aVGkq7Lo5zTOMF861bTMtxYI1styQreclMbjLcjHxkKDt5 ylSuspWnDGXOZnnLXO6yl7/sCWGCecxkLrOZKyzmM6t5zWxusywy6OY4y3nOdIZtkOuM5zzrGcqU 3bOf/wzoanwy0IQutKmhg7HJQyt60Yx2xSQbDelIS9rOg560pS8N6aBietOcBnT2Og3qULsZBaIu tanNDM5Tq3rVM/4Lq18N6xMbL9a0rjUvimjrXOt6FSrdta9/DdxeA3vYusaksY+N7GQre9nMbraz nw3taEt72tSutrWvje1sa3vb3O62t78N7nCLe9zkLre5z43udKt73exut7vfDe94y3ve9K63ve+N 73zre9/87re//VIAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td>
A c= omputer keyboard typically uses a
mic= roprocessor to scan the switches.
Sim= ilarly in remote control units for TVs
etc.
switches<= br>
m= pu
column select l= ines
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBgQAAAAAAAJfNzP///wL/nI+py+0Po5y02ouz3rz7D4biSJbmiabqyrbuC8fyTNf2jef6zvf+ DwwKh8Si8YhMKpfMpvMJjUqn1Kr1is1qt9yu9wsOi8fksvmMTqvX7Lb7DY/L5/S6/Y7P6/f8vv8P GCg4SFhoeIiYqLjI2Oj4CBkpOUlZaXmJmam5ydnp+QkaKjpKWmp6ipqqusra6voKGys7S1tre4ub q7vL2+v7CxwsPExcbHyMnKy8zNzs/AwdLT1NXW19jZ2tvc3d7f0NHi4+Tl5ufo6err7O3u7+Dh8v P09fb3+Pn6+/z9/v/w8woMCBBAsaPIgwocKFDBs6fAgxosSJFCtavIgxo8aN/xw7evwIMqTIkSRL mjyJMqXKlSxbunwJM6bMmTRr2ryJM6fOnTx7+vwJNKjQoUSLGj2KNKnSpUybOn0KNarUqVSrWr2K NavWrVy7ev0KNqzYsWTLmj2LNq3atWzbun0LN67cuXTr2r2LN6/evXz7+v0LOLDgwYQLGz6MOLHi xYwbO34MObLkyZQrW76MObPmzZw7e/4MOrTo0aRLmz6NOrXq1axbu34NO7ZsJQEm1J7t4XYE3W94 36x9O4BwA7qLJxBefDjxA8gRKG8Ox7fN58wHGF9effn14Nm5W5cjveb179+Bk8+O3vv24+B3judu Xjp05NSt08eOnnaG8Av4z/98r115DZjH3nn5GZiEfxAo6Jx76T1YoIDYeUeeek0w6ACG+OHEG3T4 hedhiMwpR1xyTGjIAIooQrbiAy1G6KJnLw64nwQzMnZjfzXuJmNuOy5IWI4waiCkig4iSISQDXZQ pI1H6seBkkteYKROFpJoX3JSfrAlkhg0yaOVE1oY4IYxdNmll06GmROZFVaXJpdM+vjlmm1O2N19 WdqAJp1U2skhnoLqSEOfc9bJZqBvDkqgmi0YGuWPMYq56HkhYikDpBuACeRgmhIpaYYQ0Udqqaae iiqTqK7KaquuvgprrLLO+mpCAQiAa6667sprr74K8Gmmh0aKaKcO3fprssr/8hrsmcNuGiqNDyG7 bLXJNgsDthZwOumx1n7rq7YuiEsBt6JOC266upLLAruAVlClt+qq664K9Rq77bsLUTvvt7SeesO9 3eabKEP89lttnHw+C2qxAxuMMLgK1yDwuX8WvG/E/kZRsbQX4wuxxglzzHC0tumr0MEih0sysS4T DHLGKys7caElOwwvyrbOTHPL0N6sswI1y6Myz+v+8G/SSi/NdKk7G80yFB2naDKh8kLNrM8Nv5wz xilj3evQwnJdtddWN1Q01mI7SzbO5QZ9UNpQr50t0DDfbTHaYGcttd1df/ywzHvnSvcLU5/9d+Bf D0641mUnDrnHejOOa+Hj//r9tttUo0s5sI5rDnjkm1/NuOWPYn4y6EKP2rnnfbcdeuYxL0656e2i DrfiiAte+uexqz777rT37kPTxh+P/KpP1+473o/rvjrnzL/+M+xmR0/64LavcDj2zo8++fRPdD+k 7NDH3fr29uJ+ffDehyy+E+RP+b3wyxM/Pvvu708//PjLr7/zCfBAvNNe80T3u9wRcHgGpN7Wqle/ 9xVwb+pLwfwWqEAzTRBsFUTBBTWYOv4VRG5G6+AJPugoEYLwfg3sQfJeCEPjsZCCBzTfAxEoQQbS 0IHPC2EEy6dDDtbQhze0oeT810IAWg+HKkyhQUjIMxOaAIXmOuIG1TbEDP+2b4ArRAgUZybFElCx h11EX+fCSIIxAq9/V5xbFrdIRCOCD4k7zN8S5ahFNIrjiyvTowjUmMAczlCIPFxjHA9pPy+m741N bKQgH2nG+F0ogHljIhuDiMVCBtKSlbQiJt2oyR/iEY5lfOIiQ8lJLSYSiIPMpB0hWEREQtKUZ2Qk F0k5R0+2EpSEqGIuP1lCQ/hylbSUZCCGOcsRnrKXZHSiMmtZCGRahI8i86MVpFkRamrMmlXAJkW0 GTFuUsGbEwEnwsQ5BXJKxJz9QqcU1BkRds7LnbZMZjmXOQh6/kOe9BKmePApCH36g5/pEqgS/wPQ Y/4TmsykCUEl5k+HJlSWNg/dGG6YM9HYVNRaBv3LRkd2UeJkFDYfXVZH/VLSnoXUOiN9TUqvtVKW MvSiL/3VSftS06iFNKdhiylP+bbTlrrmp7u6KV+IerSVIrVxShVqa5ZaOZ86lTVQdV1QZ4qbqhp1 L1r1aQydFtOwinWsZC2rWc+K1rSqda1sbatb3wrXuMp1rnStq13vite86nWvfO1rMAoAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image001.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAB+ ABQAgAAAADNmZgL2jA0Jx+oPo2NB2ouzpJonimji14zmaXmYCqJd6canenmtXMP4nh+vf4OAKgpW g6N70JTDydFHQtCaiyFUaHWGqiFr0ssER2/eK7i8LZbVwBKaOKZy13MbOh6/a7f2NrRFhtTH5zYl WHjFRofHOOfYOAi3tNf1BRNp9kaZNfZYp0hklKi3JErYhGoq5njZ+ud66leZ+NlYt6ia4UcJCokY G2qZSZtnOPw6GnS7vByI/AyXx4zFKV0srKMJGvQWTKz9q1x7Hd27Kb5KDk29eoadJheW9pnEGzFo uzd+W86zUe8voMCB8gASPIhQRrWEDBuaEOcwooYCADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image002.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoM0mUArytlx6H0RJo5ltH1SqjGKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image003.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZxyEv0kmwLlQtztZxSH1PKJLf4nHXpjWKZBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image004.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJUH+VirIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image005.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoNUBorXxVDzun1R+DmidRpmxSBKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image006.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhBF5m+J6gpvPSEoDwnnz2XzP9Wkkp3modQpQlLxAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image007.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDwAPAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoM0mUArytlx6H0RJo5ltH1SqjGKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image008.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZxyEv0kmwLlQtztZxSH1PKJLf4nHXpjWKZBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image009.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJUH+VirIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image010.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoNUBorXxVDzun1R+DmidRpmxSBKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image011.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhBF5m+J6gpvPSEoDwnnz2XzP9Wkkp3modQpQlLxAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image012.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhQAAIAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAA8 AAQAgAAAAAAAAAIXhI+pyxAPo5yv2bsC3rwn7X3USJZQmBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image013.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4blgoBoWq2XIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image014.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4alJVLLla2NIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image015.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJWHVzGGIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image016.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhINoCyH/AnMQylazpDnibnEgAoalcInV9YVMk7BAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image017.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehINoCyH/AnMQylazpDnibnEgAnqidpTXFzJNsgIFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image018.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhQAAKAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAA9 AAYAgAAAAAAAAAIfhI+paxEMo5zLOYqb3bz7D4Zfhlnk9JxUqjJsC6tBAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image019.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhRAALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABA AAgAgAAAAAAAAAIjjG+gy+0Po4Rh2otvzbzj7YUiA45mV56qla7ug8TyTNc2XQAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image020.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4blgoBoWq2XIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image021.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4alJVLLla2NIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image022.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJWHVzGGIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image023.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhINoCyH/AnMQylazpDnibnEgAoalcInV9YVMk7BAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image024.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehINoCyH/AnMQylazpDnibnEgAnqidpTXFzJNsgIFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0003_image025.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhrAAoAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACp ACUAgAAAAAAAAAK+hI+py+1/QoC02ouz3txI2YXiSGLfiabqOpXuC2/teMZzjOfKHYI4rwvahCQg kcNKKpfMpvMJjUqdx0i1d82KjFoKtwt2fMOMMfnsQVfM6jC7/W5r42i6eorP6/d8qTxhRxb4JzQI ZkiYgziXKLeY9djoEllFKUlzCZh5ZknUedQXKjqKtyljmoba9RnEqmrhqvjKiBo7+2A7dFupmvtD ChystMtLbPxznPziqzzL3NwLLY00XW1iDSe8gq1WAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td>
one= column at a time has a voltage
app= lied. If a switch on the column is
pre= ssed the corresponding row has the
voltage
switches<= br>
m= pu
column select l= ines
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBggAAAAAAAJfNzP8AAP///wECAwECAwECAwP/SLrc/jDKSau9OOvNu/9gKI5kaZ5oqq5s675w LM90bd94ru987//AoHBILBqPyKRyyWw6n9CodEqtWq/YrHbL7Xq/4LB4TC6bz+i0es1uu9/wuHxO r9vv+Lx+z+/7/4CBgoOEhYaHiImKi4yNjo+QkZKTlJWWl5iZmpucnZ6foKGio6SlpqeoqaqrrK2u r7CxsrO0tba3uLm6u7y9vr/AwcLDxMXGx8jJysvMzc7P0NHS09TV1tfY2drb3N3e3+Dh4uPk5ebn 6Onq6+zt7u/w8fLz9PX29/j5+vv8/f7/AAMKHEiwoMGDCBMqXMiwocOHECNKnEixosWLGDNq3Mix /6PHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtKnT p1CjSp1KtarVq1izat3KtavXr2DDih1LtqzZs2jTql3Ltq3bt3Djyp1Lt67du3jz6t3Lt6/fv4AD Cx5MuLDhw4gTK17MuLHjx5AjS55MubLly5gza97MubPnz6BDix5NurTp06hTq17NurXr17Bjy55N u7ZtJwEu5L4tYncF33OA78y9O4BxBb6TNzCe/DjyBcwZOI9OR7jO6dAJKH+e/fn24t3Ba7djPef2 8eOJo+/OXvz35eR/ngev3jp15ti14+fOHneH8v8PAHjTfN6lF4F68K3Xn4JNCEiBg9LJ196ECRrI nXjouRcFhBJwyB9PwFHHX3kilgidc8g1B4WHELDIImUvThBjhTKKNuOB/1lwI2Q7Bpjjbzb29uOD iA0QQo8OIOmihAwiYSQISNKYwZI+RanDkx9YuSAGVPakIYr6NaelCFh6MGaTuunIZHEaFvhhDWUO maWcHa454p1njhAnB2cqqWaVF4a3X5hXHikkn396GeiiPuKw5wZ90okjoBmGl915NjyqQaSIAkkp hmKemOcHmk55KKSJFlYql6dumipE+MUq66y01npkrbjmqmutA+zq66/ABivsoAsFIMCxyCar7LL/ zDYrwJijkmrotKh6KpGxzmar7bLQ7rBqmtS6am1E2G5rbrbdFgplq6aOC+u58Dabbg7fviopuERO VG68/D4b7g31unuvvS1StG+/8M7r6L8DC1ywvgj3Oyyt3jLcabX5Xhsxv9EuvK7FBDeq8cYJVxFw xnNeXCPEJJ/bMcAgi4vxyiO3vO3Lmcbc7sx1smyztjjDqTOrKvdc88/OBk3DyTSnzPOkRyPNrNIz MG200zI3/a7USQ8hbK8Thy322Lg2dDDXyVItg9VQY72z1g+djfaxasfA9sMfP403uXNPbfLQ+GZ9 9dZ9p/133m4TjTLhhdN9eOJFKw63Q3LPXTcM/3eLDHnISRrcuOFUZN454G0PHvfnyF7+guhSNrx4 6aej7m/opDvMeeuUyz77FKxHWLvmpucuu+ou9L5l5IEHb7buxLdg/JuuTw587Kg3z8LzaOp9u+9R F279Cthzqv3o3ff9PQYDpK/++uy37/777wcA//zuy08//Pbfn//6yw//uJnskpzyGFI5tJ0vBeEL YPJgJ7zq/S96C4zg9Br4uQOiIIG/I5/0CMi8ByJvfK/bG+MaZ8ETYBBxbxtgsTpIOxRCkIET7J8D W7g5wQkQhhz0Hw0BmEHcxTCHM+RdD3EYwh+uUIdCdOEHbafBEXrPgyC8oQSbSL0KQtGGSywiFf8p SEKvBQtsZAujGCcmQyvu8IVM1KIPgWjGJNYwhVI0okIKyLUSmuCEb9zgGo8YRCngkYdRPF4Zu3jG LMYxjdzjGxL9OEQRHnKPc2ShGwGZRxUm0onmuyIcDWlJQbKRkJNEoxr1eMkqgpKRSgwkEUvJxScW UpWI7CT0WpnJV2IRlnL0JB/biMpKbk+W2fukK0PJyV+uUpeRXGQU/ijKLeYSIXSUmh1LwMxiOvKa gxxmLynJzUeyMpu1JCYuSQnMaY4jmkgzp54a+UxsOlOY4TyEn2IJTUnKE43qDAc6f5ZPK8xzlAnZ p836WYV/krOeyiyEQT8i0JYRlAoL9UhDSfbb0ClEtCMT3VhFpXBRjmQ0YhvdUDN9xktDdHQjH0VY SFc00vJZThEr9UdKJQZT89jTpDZNKCFi2o+ZcqymOPFpvHjaoJz2EadBvelthFoy3kBHqbZhqsuc +lSd1kaq5iKqX7B6M6oiB6pXBSttuAo0r2pHrLMhK7rMqtauebWt8mIrWmUDV7+9da6xqSu35GrV seIVNnpVllb7EljQUbWwqePrUZf619cg1nFvHWOuzErZylr2spjNrGY3y9nOevazoA2taEdL2tKa 9rSoTa1qV8va1rr2tbA1RgIAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image026.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhcgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABr ABQAgAAAADNmZgLFhI+py+2vgpSw2ovznVT7D4YAF4jmiaYV2SVsubywQY4cUteHnt/uLWP9ZLjg zNhCHn3KorLjswV3TamRVuVVrdvhBPvlUsNCMRemJaehPaR3ZinrzPM1Omofu8Gtxr7OhBeoFkYn +DVl5tfGVpjHd0eYNDhZeAY55lTZuBnZian4qAgqJEfJuSSZigpqCEQUegUJC6iq54l7e/tkeRbl Kxp82lo79RJT9sZHPEzaPGoFrTJN7TBXja3Slc1tst0NDgILUAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image027.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoM0mUArytlx6H0RJo5ltH1SqjGKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image028.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZxyEv0kmwLlQtztZxSH1PKJLf4nHXpjWKZBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image029.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJUH+VirIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image030.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoNUBorXxVDzun1R+DmidRpmxSBKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image031.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhBF5m+J6gpvPSEoDwnnz2XzP9Wkkp3modQpQlLxAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image032.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDwAPAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoM0mUArytlx6H0RJo5ltH1SqjGKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image033.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZxyEv0kmwLlQtztZxSH1PKJLf4nHXpjWKZBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image034.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJUH+VirIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image035.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoNUBorXxVDzun1R+DmidRpmxSBKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image036.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhBF5m+J6gpvPSEoDwnnz2XzP9Wkkp3modQpQlLxAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image037.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhQAAIAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAA8 AAQAgAAAAAAAAAIXhI+pyxAPo5yv2bsC3rwn7X3USJZQmBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image038.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAP8AAAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4blgoBoWq2XIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image039.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4alJVLLla2NIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image040.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJWHVzGGIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image041.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhINoCyH/AnMQylazpDnibnEgAoalcInV9YVMk7BAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image042.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehINoCyH/AnMQylazpDnibnEgAnqidpTXFzJNsgIFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image043.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhQAAKAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAA9 AAYAgQAAAAAAAP8AAAECAwIjhI+payIMo5zLOYqb3aH7D37bSJZcGGSYpU5pSz0w9M72HBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image044.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhRAALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABA AAgAgAAAAAAAAAIjjG+gy+0Po4Rh2otvzbzj7YUiA45mV56qla7ug8TyTNc2XQAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image045.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4blgoBoWq2XIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image046.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4alJVLLla2NIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image047.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJWHVzGGIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image048.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhINoCyH/AnMQylazpDnibnEgAoalcInV9YVMk7BAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image049.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehINoCyH/AnMQylazpDnibnEgAnqidpTXFzJNsgIFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0004_image050.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhrAAoAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACp ACUAgQAAAAAAAP8AAAECAwLRhI+py+1/hIC02ouz3txI2YXiSGLfiabqOpXuC2/teMZBjOfNLIL4 rQsGecIOsDgKKJfMpvMJjUqn1Kr1is1ikRFu6OgNc4hiC7iMrpDTjzP7vVjDF+65XW5H1PNvPB+w 93egRVhoeIiY+CTowUjnOOfHFwjJJZlHWVl0aZepOfRp4BmKwzk3SgpjCofaqfgKG5uYerH61kpL YsuGm9tD2uvbsZsWLCwDfLyZnCrr/HylLEQsXb1jjR1Cnc29zY3t/V0dLq5MXk7yxLLOjoIOVwAA Ow== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = = <= /td>
Thi= s requires some of the lines on the
MPU= to be configured as input or
out= put lines. These collections of wires
are termed ‘por= ts’.
switches<= br>
<= /td>
in
port=
m= pu
<= /td>
out
port=
column select l= ines
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBggAAAAAAAJfNzP8AAP///wECAwECAwECAwP/SLrc/jDKSau9OOvNu/9gKI5kaZ5oqq5s675w LM90bd94ru987//AoHBILBqPyKRyyWw6n9CodEqtWq/YrHbL7Xq/4LB4TC6bz+i0es1uu9/wuHxO r9vv+Lx+z+/7/4CBgoOEhYaHiImKi4yNjo+QkZKTlJWWl5iZmpucnZ6foKGio6SlpqeoqaqrrK2u r7CxsrO0tba3uLm6u7y9vr/AwcLDxMXGx8jJysvMzc7P0NHS09TV1tfY2drb3N3e3+Dh4uPk5ebn 6Onq6+zt7u/w8fLz9PX29/j5+vv8/f7/AAMKHEiwoMGDCBMqXMiwocOHECNKnEixosWLGDNq3Mix /6PHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtKnT p1CjSp1KtarVq1izat3KtavXr2DDih1LtqzZs2jTql3Ltq3bt3Djyp1Lt67du3jz6t3Lt6/fv4AD Cx5MuLDhw4gTK17MuLHjx5AjS55MubLly5gza97MubPnz6BDix5NurTp06hTq17NurXr17Bjy55N u7ZtJwEu5L4tYncF33OA78y9O4BxBb6TNzCe/DjyBcwZOI9OR7jO6dAJKH+e/fn24t3Ba7djPef2 8eOJo+/OXvz35eR/ngev3jp15ti14+fOHneH8v8PAHjTfN6lF4F68K3Xn4JNCEiBg9LJ196ECRrI nXjouRcFhBJwyB9PwFHHX3kilgidc8g1B4WHELDIImUvThBjhTKKNuOB/1lwI2Q7Bpjjbzb29uOD iA0QQo8OIOmihAwiYSQISNKYwZI+RanDkx9YuSAGVPakIYr6NaelCFh6MGaTuunIZHEaFvhhDWUO maWcHa454p1njhAnB2cqqWaVF4a3X5hXHikkn396GeiiPuKw5wZ90okjoBmGl915NjyqQaSIAkkp hmKemOcHmk55KKSJFlYql6dumipE+MUq66y01npkrbjmqmutA+zq66/ABivsoAsFIMCxyCar7LL/ zDYrwJijkmrotKh6KpGxzmar7bLQ7rBqmtS6am1E2G5rbrbdFgplq6aOC+u58Dabbg7fviopuERO VG68/D4b7g31unuvvS1StG+/8M7r6L8DC1ywvgj3Oyyt3jLcabX5Xhsxv9EuvK7FBDeq8cYJVxFw xnNeXCPEJJ/bMcAgi4vxyiO3vO3Lmcbc7sx1smyztjjDqTOrKvdc88/OBk3DyTSnzPOkRyPNrNIz MG200zI3/a7USQ8hbK8Thy322Lg2dDDXyVItg9VQY72z1g+djfaxasfA9sMfP403uXNPbfLQ+GZ9 9dZ9p/133m4TjTLhhdN9eOJFKw63Q3LPXTcM/3eLDHnISRrcuOFUZN454G0PHvfnyF7+guhSNrx4 6aej7m/opDvMeeuUyz77FKxHWLvmpucuu+ou9L5l5IEHb7buxLdg/JuuTw587Kg3z8LzaOp9u+9R F279Cthzqv3o3ff9PQYDpK/++uy37/777wcA//zuy08//Pbfn//6yw//uJnskpzyGFI5tJ0vBeEL YPJgJ7zq/S96C4zg9Br4uQOiIIG/I5/0CMi8ByJvfK/bG+MaZ8ETYBBxbxtgsTpIOxRCkIET7J8D W7g5wQkQhhz0Hw0BmEHcxTCHM+RdD3EYwh+uUIdCdOEHbafBEXrPgyC8oQSbSL0KQtGGSywiFf8p SEKvBQtsZAujGCcmQyvu8IVM1KIPgWjGJNYwhVI0okIKyLUSmuCEb9zgGo8YRCngkYdRPF4Zu3jG LMYxjdzjGxL9OEQRHnKPc2ShGwGZRxUm0onmuyIcDWlJQbKRkJNEoxr1eMkqgpKRSgwkEUvJxScW UpWI7CT0WpnJV2IRlnL0JB/biMpKbk+W2fukK0PJyV+uUpeRXGQU/ijKLeYSIXSUmh1LwMxiOvKa gxxmEtL3gGri0png3OUpnaS+BnjzlrGcZTZrWbz7ufN9CzjnJo0ZTHFq83p6KqcJxIdOSCYkmkgj HvYUwE0U8HOe4UxmH/FZhIM+kpXrDGjqxkj/0Ypa9KJj85z3Uoc5Izh0ihoB6MbKJVCPKpCeFRFp xEja0YaeNJ0WUSnCWLo6kzZSnRuRqcQ4WlOX3rSeGdEpx3haPJumEqYpJeFEMcrUpjpVWCMRarxo WlSfHhUmUk0YUZ1nVF+yJKsu2ypDifBRmYDVXFTlqlW9upKz3kys4OsqJWviVqDBVQUDZUFZY1JX dN0VgXJtZkr6mrSlPvWwiA3WdZTquJ6SlSqElddfLxhYp0R2apM1YWWbclluZfaOm2VKZ5WV1rF6 DbKM3Z1aHzuV0abNsImNrdhQu9HGVjUyrk3dZ6k5mdzSbbckyCtefPss4Oqpt6ktqWSIW9q4YC43 uS3FbXJl9c7qtg+5yrwNcUHHG+RIsrvbNa5rwmtb8H6XN+RVLXrPq1322ia901xMYrtL3/ra9774 za9+98vf/vr3vwAOsIAHTOACG/jACE6wghfM4AY7+MEQBkkCAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image051.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhQgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAA8 ABQAgAAAADNmZgJ+hI+pyxsNo5wg2EezbtfuD1adNo5MhyGoiJpHi8GuIadsvb7yDau4jsvxaLsW sZQzAj2+i8KVhJqER+ZSao06q7HZMFG7XbnjbxkcTGmzUy/1+VMa5W4vPG3lKc3cbRtvo7dFhiYU hpRXmMh3ZdMjFhJJYSdZqWiJ6TCYyVkAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image052.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoM0mUArytlx6H0RJo5ltH1SqjGKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image053.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZxyEv0kmwLlQtztZxSH1PKJLf4nHXpjWKZBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image054.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJUH+VirIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image055.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoNUBorXxVDzun1R+DmidRpmxSBKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image056.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhBF5m+J6gpvPSEoDwnnz2XzP9Wkkp3modQpQlLxAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image057.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhSAAuAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABE ACoAgQAAAAAAAP8AAAECAwKKDI6py+0PgYm02jiv3jXzDyZeSG5jiVJnyjZrCyNvDM80a99orpN8 GwgKh8RiUNRb/FRJxRIjM0qnVGHq2bxgsx1uzQsE43QCwW1bKZfPCLVbUJW+5/S6VHZThw/oi3nM JxaS0SeoZFhSiIi3CKLY+LgYiUho1Oh4+TFpuCnYKfYJFuoVV2p6KlQAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image058.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDwAPAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoM0mUArytlx6H0RJo5ltH1SqjGKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image059.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZxyEv0kmwLlQtztZxSH1PKJLf4nHXpjWKZBQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image060.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJUH+VirIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image061.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIdhBEZdykvVoNUBorXxVDzun1R+DmidRpmxSBKchQAOx== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image062.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhBF5m+J6gpvPSEoDwnnz2XzP9Wkkp3modQpQlLxAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image063.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAP8AAAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4blgoBoWq2XIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image064.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4alJVLLla2NIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image065.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJWHVzGGIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image066.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhINoCyH/AnMQylazpDnibnEgAoalcInV9YVMk7BAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image067.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehINoCyH/AnMQylazpDnibnEgAnqidpTXFzJNsgIFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image068.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4blgoBoWq2XIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image069.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDgANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZdykvUoM0mlDhwlnzHn2dA4alJVLLla2NIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image070.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAM AAwAgQAAAAAAAJfNzAECAwIehBEZxyEvUoN0IYqXwzDxun1R+GlidJWHVzGGIhkFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image071.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIfhINoCyH/AnMQylazpDnibnEgAoalcInV9YVMk7BAAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0006_image072.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhDQANAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAM AAwAgQAAAAAAAJfNzAECAwIehINoCyH/AnMQylazpDnibnEgAnqidpTXFzJNsgIFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0017.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> = <= /td> <= /td> <= /td>
l Typ= ically each port is several bits wide,
we = use a shifting bit to scan
out= port:=3D1                                       --- set col 1 on
repe= at
   if inport>0 then processs switch=
   outport:=3Doutport+outport;             --- shift column bit up<= /font>
unt= il outport>32
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_master04_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBgAAAAP///wL/jI+py+0Po5y02ouz3rz7D4biSJbmiabqyrbuC8fyTNf2jef6zvf+DwwKh8Si 8YhMKpfMpvMJjUqn1Kr1is1qt9yu9wsOi8fksvmMTqvX7Lb7DY/L5/S6/Y7P6/f8vv8PGCg4SFho eIiYqLjI2Oj4CBkpOUlZaXmJmam5ydnp+QkaKjpKWmp6ipqqusra6voKGys7S1tre4ubq7vL2+v7 CxwsPExcbHyMnKy8zNzs/AwdLT1NXW19jZ2tvc3d7f0NHi4+Tl5ufo6err7O3u7+Dh8vP09fb3+P n6+/z9/v/w8woMCBBAsaPIgwocKFDBs6fAgxosSJFCtavIgxo8aN/xw7evwIMqTIkSRLmjyJMqXK lSxbunwJM6bMmTRr2ryJM6fOnTx7+vwJNKjQoUSLGj2KNKnSpUybOn0KNarUqVSrWr2KNavWrVy7 ev0KNqzYsWTLmj2LNq3atWzbun0LN67cuXTr2r2LN6/evXz7+v0LOLDgwYQLGz6MOLHixYwbO34M ObLkyZQrW76MObPmzZw7e/4MOrTo0aRLmz6NOrXq1axbu34NO7bs2bRr276NO7fu3bx7+/4NPLjw 4cSLGz+OPLny5cybO38OPbr06dSrW7+OPbv27dy7e/8OPrz48eTLmz+PPr369ezbu38PP778+fTr 27+PP7/+/fz7+2H/D2CAAg5IYIEGHohgggouyGCDDj4IYYQSTkhhhRZeiGGGGm7IYYcefghiiCKO SGKJJp6IYooqrshiiy6+CGOMMs5IY4023ohjjjruyGOPPv4IZJBCDklkkUYeiWSSIRQAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0017_image073.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhrgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACk ABQAgAAAADNmZgL/jI+gy+3vApy02oshkvnuz3VVIpbmSW2oBpIro77ybMU00Nqyfvf+j3PxgodI ImeofR4g1nKh0kVdxGRVwpu2oNQcDPmceL9b7vjaVIBDsbV5bb2+02hwiiREzstwtVte9wT3tyQo ReUU12BYBAjIaAXJ0UZZVDjY6Fip6ChG55eJITkHGrc5mWeZOkhaihra6Uk3ZDRmc9qK66rJSmaK h0jLxIdYq9p4C5ypm3z8W5bIpswpLIuc6it9vTytHdlN2vxpnDSaXXKKxaz7uPr6ze1crnd3ljXu u+sa1se7/QwvoLd3/eqFiVXH4D1ogcDpE0dQXztMoorROjjsIsaHIv8GSlwXT9GRYkBKmjz5ohrK lSxP/mkJM6bJlzJr2pwBrQAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0002.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = <= /td> <= /td> <= /td> <= /td>
Mec= hanical switches play an important and
ext= ensive role in practically every computer,
mic= roprocessor and microcontroller
app= lication. Mechanical switches are
inexpensive, si= mple and reliable.
In = addition, switches can be very noisy. The
app= arent noise is caused by the closing and
op= ening action that seldom results in a clean
el= ectrical transition. The connection makes and
bre= aks several, perhaps even hundreds, of
tim= es before the final switch state settles.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0002_image074.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhnQAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACT ABQAgAAAADNmZgL/jI8HkOsPo5y02thovlynHyxbR5YmhlTj2YGfmLLyrMbTSnuuwtj5P8M9hECJ sHF8WZA8B9Mw9PUU0hUI8oROQ9Fmd4d1LXfa7QYMIzt96LRY6eaGyXJ6TR2P2c3X+LpNJ+aHolfY RMSXqJiX+KKUcWaoBWmTdChZJ/WVyWNVmRLZifk3OWpGKreJmtdn9CnqdeoXWgqLR2nLWaY6GJg6 V4a7K3tKyyWMhjxIzCuLePNaO2xMrMxMKH0c/buMrB27GfJYlQXlaD2e27vteukuCI13zecNZ2xv So2tm9oWD6iJXrA9zPyhY7dvVThuCcmBU7jK4TQ469QVAfLsosaNFBxPZOwIMqTIeSNLmtT48aRK AAUAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0005.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td> <= /td>
The= consequences of uncorrected switch
bou= nce can range from being just
ann= oying to catastrophic. For example,
ima= gine advancing the TV channel, but
ins= tead of getting the next channel, the
sel= ection skips one or two. This is a
sit= uation a designer should strive to
avoid.
=
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0005_image075.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhZAEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABU ARAAgAAAADNmZgL/jAOph+oPo4yszWSv1nXv7oXiSJYmx0DZCJ5hix3uCavy/KV4jff+72rpSjzg AjY0Xoqxm9LBZCWf1CoQFEU5rZXM1HrcPrI+sscMTqtfX7aY2rV84xI6RVaMG+7vJX5q5/e3x0cI NYSVwhM41uWGmLTVoefYWDPZxhimo9e0QmmjSdkHeqhZ2LlJNmoIUOopx8lqKpoqWOkqe5PI+vna a4kLq2gXOUrLCBwqPHtr21wHLQ25qwyd+4rqCcuNTdjbOi3+rHtMbciLXr4nHOxL3Dbs/X2+gozg mJ8eW70+37BP1QQm+vrRM/gOobx53Rg6pPXPiRB/3Sb2adgwisWD/+ouPsQkkaLDjfjiZTRpS5Wy kYBMfhS5LyTHeqnAnfR4qd5AnRELwuvIjifJm0E99sySrRM5hcicCTxKcxDAQDahMn24LCG/Vu6e 2qQzdOjLqyC5ukuJKmpJXAGb7pQ5M2XViE+jGRWrzS3WrhVR+ty6FuhUuIMFj9VrgtnfcCJv6m17 JinJwpQpy2uH+J9mu1LjYjyreXFfmD+LIrT8yMtktzlLo2bZ+J4kJMdU0q6dVjDms2xx554MvJLY a9eWYext7LRuvMHhDhPTGnM2q/ZkyzabNRna7Ipr1WY+ffpve3iJzl5q2DZXUHPenHrOeW/2rFJM a8XeNR7B0uat5xBOjV1L6yUHXTvl0cVdAgUAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0007.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> =
Whe= never the switch is open, the high input on D propagates to Qn
aft= er n clock cycles. If it closes even momentarily, the output goes
low= and stays low until there has been a period of n cycles without a
switch closure
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0007_image076.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhqwG4AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAABwCq AbEAhwAAAAAAAAMDAxQUFBwcHBISEhERER4eHhUVFRAQEAsLCw8PDxMTEx8fHwgICAcHBxoaGgUF BQwMDB0dHRcXFwEBAQICAhgYGBsbGxkZGQoKCg4ODhYWFgQEBC8vLzg4ODw8PDAwMDk5OT8/Pz09 PSMjIyoqKjU1NSAgICEhISwsLDMzMyIiIjo6Ojc3Nzs7Oz4+PisrKzExMSUlJSYmJjIyMjQ0NC0t LScnJyQkJCgoKDY2NikpKS4uLkFBQV5eXl1dXV9fX0NDQ0JCQk1NTUlJSUZGRk5OTk9PT0xMTERE RFhYWEhISFxcXFtbW1lZWVVVVVZWVktLS1BQUFJSUkpKSlpaWkdHR0VFRUBAQFFRUVNTU1dXV1RU VHV1dWFhYXh4eGVlZWBgYGtra3l5eXp6en9/f3JycnR0dHFxcXd3d2dnZ2NjY3Z2dn19fWpqanBw cG5ubmJiYm9vb35+fmlpaXx8fHNzc2RkZHt7e2hoaGZmZm1tbWxsbJubm4eHh4aGho6OjoyMjJmZ mY2NjZKSkpiYmJ+fn4SEhJ2dnYiIiJSUlJGRkZOTk5aWloKCgpycnIqKiomJiYCAgJqamp6enoOD g5CQkIWFhZWVlY+Pj4uLi4GBgZeXl7y8vKOjo7CwsK6urqenp76+vqqqqqurq6+vr6amprGxsaSk pLq6uri4uKioqKysrKmpqbu7u7m5uaCgoKKioq2trbe3t7KysrW1tba2trOzs6WlpbS0tKGhob+/ v729vcrKyt/f39ra2tnZ2dfX19LS0t3d3dPT08HBwc3NzcfHx8zMzNDQ0MjIyMPDw87Oztzc3NbW 1sXFxcvLy8/Pz9TU1NHR0cDAwN7e3sbGxsnJycLCwsTExNjY2Nvb29XV1f7+/u7u7vf39/v7+/z8 /P39/ezs7Ovr6/Pz8/n5+fr6+unp6e3t7fT09Orq6uTk5OXl5fHx8fX19ebm5vLy8uDg4OLi4u/v 7+jo6Pj4+PDw8OPj4/b29ufn5+Hh4f///wECAwECAwj/AL0JHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuXMGPKnEmzps2bOHPq3Mmzp8+fQIMKvdmvH8Gi RocqXcq06dCiAr+BSxo1nNOrWLNqXSlunLd+fv6QQ+rJSzmqW9OqXct2IVJAn4r68gCqqDkfgc6h bcu3r9+m6NL9+vDjl7pwX8Cs6xcKBLC9fyNLnmyzXzpBQBqECCJqXDARo8QJGSQOMuXTqFObRMeu 3Ygw7t4VFUOI1BBhplXr3s3bItJCpaD2G0ZEgKHSvZMrX16x6JgRxHIzn069N9SBSKVX387db/bv 4L93/x9PXm2xQ+jTHzJ1Sr36U+fKy5/P1BiZ+/jLDChSBr9/RODQJ+CAPYXXjxGoGHgdgQw2mFM/ PqSinYMUVhgThBJaqOGGMmE4IYcghuiRhyKWaCJIJJ6o4oq+RfghizDGKFCKMtZoI3Yu3qhjjTTu 6OOJPf4oJIhBDmlkhUUeqSSBSS7ppHwQnvLik1Qy148Qf4wzZZVc7gZhIlt2KSZq/ZBASnZjpqlc P0cgocov8CCl5py6qXPMKorAhUo8dPb5F3jyIONHIGaMkcyCfiaqFVLvCMNKGYGw0ooycYap6KU8 9VOOK2cEscgywaRTGqIFhYcdqZim6lJR8zCCRiOOsP8yD3gIfcPMK7Co0sxUX41DDzmqBnthUfGs Eossj0ByDDtyHtXPKiWkEYkkQfwQTD/rTJKEMpYK621H2cFDTzCzKLHELOWU2o8rBDhT1DNMRFIO ISacMEy33+Z70XfjzBOKIY2EIYs7Wp6KSgFoELKIGk1AU888qIQRDb76VhwRUuZIQwkikMRCiz3N GmxAGGugMIAvSNHCxsQWt/xRP+rUsoYTlUzTzmIhO1tKCe5O08Qk4RRly8oUu2y0QUXRk4oXlkBS yjBTiefsKQVQU9QxH7RxTz+gPIFM0UeHfWo/3xjziR+NEHKLPeGM41WpzhxSz1f9VHNInNa8kg7Y Yvf/nZ043wSDSxpIOEJMwc4m1WzOfTcO0XflGMMKJIBccg0+XyFdKnaOdy4ROu7gQkgjiZCijJao eq76vv3kkwgUXxyCTaWpr267b/gg44gbfpiSyjFW3S78Rtl90wwKmIgz/PLEF6UEK3wzL/2MQ2QS /fTMX6nJ9dgPD2Eu3Hd/e5Pil38Q+eanP2OO6rePNPtCKSj//PTXb//9+NPqvkno62SU2wAMoAAH SMACGvCACEygAhOYuf2VpH9E8UYUpDCFClrwghjMoAY3yMEOevCDIPRgEqiAHAeKBIKVEUcK9GaP FrrwhTCMoQxnSMMa2vCGOKRhOoxxAF6ZMCQorEk//8ShgmLk74hITKISwwMME/gQRQaimxQpUrtE BZEmQ1SBNMJ3lX48w4lcNIg41vENdZgRHvrQhzfugY4pSmQc54iPsK44kyxuEWmMawqaEuJFMEIx G0h4AxziEAddiMIV52iDKPphC2zQSkEz6kc4NPGHMPqIjh0i4h0Tt0emRPF8X3wiuPoBCQSgghrN OEY+tOELfKzADPDwgBwWs49m5KMo4bDHPoDRRmAoAx9Fgcc0thGEKlhyR9875qlGpEmqFMUaj0CE JBbRin0o8yT9sEYlvAAGSrRDcYsL5Yek1pCiVIIF0IhHPN4RDi/kpQWRuAYCXBANUsxhE05wxD2K 8f+CFxRBGZFQAyKswAxujIEOaiDAEq7Jo35cwRVV9A05M2JHZ/aDFgmYRC4q8YQkxIOhI+kHM7BQ B1yg4gc+cGQ/tPQNvbzLj3wUBzRuQY+gTRSPp1AACEZAAjN8Iwl18EYLHnEPGNhBG0bgBCgKsQBb aIMBqEhHI7JwClPUYQFauIM58kEEKoAURkMMBQqmAIlvhJFsibCDGxAZvoqeChcHuBfMgvCGiD4I HzDAQ3bEMAZ8pGMOaCjDE0ahpWfEAHEIWekWAiADLqQhFcDQh6mcVYkZNGMf+cDHOrQwh3G0gBP9 GIIgZjGFOdDBDFy4RjYa4I5+qGELeTADGYwAguD/9AMMR/jqilaqCAcE4LddqMcSw3MOPPw2AA9A xHDD44FmWFQXDTgGUtDgghIGpR/RKIJti4KKKAijGwaYBDXsEIO9CYMGe6OfcY8rABbUIAiDuEY+ XIqWonQCAu6yyxXWMA4PlAFCa6iGEyBBD1skIRrZMIC7PsEFaMxDEE+IhBKskQwbGDNV/fjFCY4b gAiAIRe5SIWIR0ziEpu4xLkogwQ4nIBMhPjEMI5xKnLxiQkY8VTQ5VZR0HCFBl4XGFgYhFGCRgkn zOMZKLjXKihwy3lUoAAgjjGNX8DhKlugAlkAhDGAieMntPYr4LBDIcZRh0P0IxYvyIUxgGCFKVRC /x/TgMI37wEJJDTBCb4oBxmuAAUtgBbD+1BClYFwh0Ib+tCITrSi7yCGAXB4AXVYtKQnfYczIKAb FsXFBZhxD3iIQgQQXco4cKsMdGACDFWoBDqkgYFDzeICt+QGBbxA6TugYcNVPm4GhPAFTXiCHT4W CGKRgrqvfEM24WgHfcEpj48yKmrB6gcrahABAQzAEssNTyZMYIEIsGAW2f5Ocy1aDQZ8wAhGyEIs 3qaUfpgDElW4ggEaMIFCoGMaN9giLkzQ2m7cwH5qOK4FNFCCJmgiFM+QRyfHJifhGOWTFr3OTRVV FHts4hFyHeU8aKCJ9BKvmUcBhzCOEY1nnEW3J//sBzFgAY1yPMMVomrH1uSRj6CJUyFDJEMJXICH XeTZpnk8FTeuUYytJQQd1sDZQeDhjmB/Sy7JcLpGihKCQ3HRrZwMevzkR7eXihJp6ADGNpatdeyo QxN6UIMe6DCNR/ajHnagBiGkCx5cTGHh3uqHNqDx9an3wwPXOCvIk9PHvqur7OfrxyEI4EhyhGEM zjBiOIrxzXgMgx2GHAAYhPuObOjidImYQDJq4QuL6Z3vxywK4AWvRZT7pPDX7AcvZDCHTmSjHeBo BR7yAQwceKEfdkDENIawhAk8gRjl2EMdImGEUuSiAHMAQxbA5/oY6R0ZRh/R3wMPrsFb5+ZQTIf/ JoKQBCCggh00G8QG+DAMKsTCHTnIxQta0Q9RiIFZwGAGJGgAzEcYs/pgBQ2zoA6pt32st0nfB1Mj Ag6uYGb9gA51QAPi0AYy0ASRIAdCEAnukA86kAougAv94Ahv4FLd4AcxYBRkYAN25Sf9cA2yADIv Y4Dd13prAn4jMg6VYAKRYAug0AVNMA7UgAEiIA9wEADWEwwUIAsv0AfxcAw70Ai48AZnUAgY4A3j AAc8sIJ90oKpsDcxuHoziIC8AXtA1A+vgAdUsAWBAEzh0AlgUgyK0HbkIHyo0AXZ0A/YsAdPgAb2 EA2IYIWoQAgACFbX0IUFCIYcgXUJaHjNA05d//eIaDIq+qOFLFiIXqh9iPhxNEh4NliGCyJxnDNF ixNJFcOFlzhKmTh13jeGnRiDE0eK5TSIhGiIX8h9ibiKXtKK4HIOvSAIeRAL+SVxrEKAeySM/QAP zoBYc2SJh2iLmiiGuaiAI1IOaWAElFAKgLAFcSGM54AFjjAq5iA1SOEKL2BW+dIPy3AKZ1GLByiL D6KLUzcOmjADrVUUi6AEzDAIdaEOmBANt7ABRcAMmJAHipAGW3QMdCBZvbAJ5TAFCkAJjGhF/aAK neBsmOiMqqgCz+COOEGGL2MOXtAEwtEOa2AHQhAH2dQAmUAMM3AHvHABdxANluACwZALDCBcf/9Q AuuQByhwDW00R/YQCmOAB50ADOzWPKlIUeNgAtazF5NYX8bIkVMHjxQFD30wAsIxD3ugCEQABv3Q Dj3QCf0gArFQDFXwGOIwAaQgCiwgG4WgAusiA0EzR+YgCZ1ADuLADHXQdqiIkRTlDSZABI2gcOBE DrDwCdigF95ADMJAEOIwDfxAN9OQDAHiSVSJEUaRCytwC6UhD2egBc3gA2jQD9MwAYbQDyHgB/y0 DP3ADQiQDa0AAZJFBiageDGgmNFGDYtQj/1ABrSgjJgpg7fYA9pACWnwGFAxC1XgBnTgBEuwDeMQ Bz8gHOpQBZKwUpAgBqtwD3p0mZiJDrWgBUX/EAVMsAR3uAgwwAVfMABmJgYysAs8sANL8AJ/sA6/ QAVJ8ARYIAP9kA0oEAfmqCr9sA+SYAtbQw97gA3LFJxJ6RYTkkVthwp6oApF4Qks0ApqRAxhkAfj 8AT/9xX24AGAAA+EsAbQIHXX5Z3B2Q62IAvGEI4r1QygMA3BMBbfgAuhkAGZ0AtfUxTqoAu98Ato eQy6IFlzlA2S4Ai7IAlF+nDiYA/KwzoNqhC+wAz10wPO1Q/UcAaHEA+bgJVoAg5AgATCkQ4wUARC UAQW2Z3S6IqLIx5FIQxbsA0NB6eIt4X9IA/YUA31+BXnQAnXYF1UJJzlZAkIcGdOkKiKuqhW/2AA G1kU0OABsoAIWeBw6KAPY5od6TAEGGAGWfAIEfkTHkkS43QO34AOUskgkPSIrHp4wsEOfUAB0cGg folzllAFy5CrurqruXoNJWA1E8kHq/ANuZAC0WEUeSAH+vADW5Ad7LADXokNIQAIgvoUKpoSd/ok RSE4uPAY59AM6WBszYA5/RAP0xA8fNQPziAFAQADXCaltcpHdCAHV9p2hsB+RVEPj9AFebAJP5AE nNkEGVAGYNAGs2ANKuCV/QANIEAIi5F4k8Vw2Toi15o+RuEHYcAJdhAGfmAPdyBk3uAHEwAJ/XAO XgAEc5lY/eALJPBbmpCyEjWliWUG04lz4v/QA7TwB49wcl+BDqDQBm9ACHQqU4rgBm5gB7NQD63Q o3XjCu/qLNLgB5PwCfyAXbdQMJDqCH7gB7EAC+GIrRVrPv3gDhywCaXRC1JgDYuggvwgBjYAAq35 A44gHUXBCiXwWx2gC5SIc4TKEP1As9phFDxwBaSAqln3cKvqRkdxD5owAouwC3PwAqygBkKAHEXx BR4wCKkACUXwBtaEEqP6QzNyDnWABHuwCdgQNL1wAfHQCjJwCz4wD6SgBPQgHfJACAbAYUYUPaoX rzNbs3y0lPRnGjljpwvqLLZwAXQHDn5gB3BAApbbD12wUEUBCiTguygStmLbD8gACGKwBF//wA77 8ASpEAhtAA6cgAhuoAR0+wtCUAHHBQMBCq9b8rfAm1hExJdAhA6XwL7fEQdZEL1ygAJO8ANWUAWN 8LQPpL3dUxTYsAZm1Q/FkLfh4AojcARb9AkXIAZxoRDhoA6VIAUYEACTkH30+xD2G7i4CC7hQAg9 IBzyUAxz4ANd1w9AIAKQIAkI4ARxArZt+kP9wA9HkASRUAh6cAV06gsBUAOl4Qw5YALMwreA8Arc 0AjMUK2DKrPnA7g2u4lQxAs64An5+gZVgAaVmh1RAAWlkQxS0Ai4yT8MLD79gA+LAAdsgAj1aA6f QKH9cA+3QH98iwqSQIxt1bduwcUx5cUo/xIOrHAEXfAFRsAG2OAGDNAFXbAFkWAOVkAFlYIKN3AK KJq9Pyy6TwlOD+oOcGA1M4KU2Is0iIy/igxF2yAKjjCsrQMLszALrXAN5yAMwvA23PvLrhe6ops4 znK8iYUIpWC4fVm/r3w+RASs4TbN1IxE2zDKxcw6vaAIH8WOKPzMSCMOOZCY91DO5nzO6JzO6rzO 5hxH51DO8XEP6mQO3hAO79zO98zO+rzP7HwOysACoZrNEtEP4LAMUSDGzejM93s+3mAEV4AEEB3R Ej3RFF3RFn3RR6AFfdAJhzAHW5AEFx3SIj3SFc0EPgCzAr0vw0AJejAGf1AMR8nKCv0h6P+AD2Z0 0zid0zq90zzd08ZjBrdwD+dQDGBQCmXU00id1Eqd0/XwkymNme2gCKZQFNGABCzTzN+80CpbzfgT DZtAX52wjVyNRE9NUdFgCCBTFHtwhxc50/7jDppQCd8gD6+gCIdS1lgxoI+wC++ADrSgB7jR1lmd qn5rDZtwBnGgCBND2Hg9dd1ACY9wCYqgyoLtECmcKbflVXvb2JkyDkPwBzblzZYNzh35CEDA2Jyd iEpgZmWoxa6s1R3JCSKZ2ouCBSTb2q1cKqRNFLKN2rRNUbYde4aMc7tdGb3923kd3Ljt1ply3Mjt FP2g3FDk2roN27w928+tR9It2uVU3EL/5NzZvRTRfdvTndtH4d1YBN7h/RTbXdndbd3Gjd3rzd7k zd1+i951pN7zDRTjLdzUfd7w/d3yvd/83d5YPdoBnt4DTuCvZ+CJ+HdydT9/oFdjPdaS4AS+zeCW 7eBIaQms8OEgHuIi/uFdAAMjfuIonuIqvuIsDgX0quEFXt/aRwNRUGlncOM4nuM5fgciYAI2ruNA HuRCPuREXuQ4fgc2EAQZDuMSmx1FQH2JaxHXUMP1IwlhUOFjHQ/UwOQ3QQyl8OVgXgqt0ABf0Aph Hua1IEes8zj4XUdLzuUtOAdzcAZeUOd17gZkYOd6DgdzkAdGiq1tzuXbkR1pVOjgAA6F/27oeMc/ gS7og+4KIAQF68gSl+3oqmo/L1Hplj4gRZEKURAFQYAHom4Fn161qarpmz4g9tAN3YAKkPDq18Dq lZnpjZ7q1IEUUXBcvDCxpFrrtl4dyWAKwg5sdeTrvz4dr9gSqH7sAmrszN4ly/7slxLt0m5Fzl7t 2nrt2L4k1L7tc9Lt3p4m4B7uYjLu5N4WEWvMHant5y7eY5sIg+AHooB6VC4PptB2UIm4pEhOxbjZ W5zg7Z4WRaEKAwAHjLAJSOAEskHQo5IPJzBmxRZM/d51W4O44IA6RSFZ/g7gbx7wZYgLGJClzsAF lnAPn4AIdhAc+/ABWGAIkoAMWuILmv+gCHbwC8/wBwqHDIQgDscgZmggFv3ADn7wCGVAf+7gCIAA BsZQNObu8VlRFNCVpbcVA5jQBLYgf4tQD2daDaIAA6tgDVqQCdrgBUZQCBxgTZcwAedwCQqQCrMA A3PgDX8ABZ7QCX3wCl7QB6qwtrWwRIjw4k7fF1DfAFtUFJOAAUHQBW1gBx+ABvOABBLSDyBgB4YA BsiGPOjVD5RgAufACCtQFHBwBenQB3VB0K0gBT/gBXlgAovwFdSgDbAf+7I/+7EPDUsAApEZ+HxR FLgwAYXfC1iABnxAB/kQDHnQsTYQCSulAppgClXgLu6QAZxwALKBCTiw9iHQx3WQBPX/0ASAUL2A ILf0QA1jAIL9wAlAkP7qv/7s3/5novvoPpEPkAVQsAUvIAnmsApdAAdvMAS2wA4AMQJHkCpsfsHj kyQIDDDRtGgRYwRHuEsh+t3TY6RfKyVBjkDxJUpLmx9JsPXz1k/lSpYtXar0FlPmTJo1bd7EmVPn Tp49ff4EGlToUKJFjR5FWrQfvl6gZq3qtrLbLlf8LnbTdmgWPJXhVKXyNK4fO1emhFHzlm5YP3HO nqkUlstUO5TYYpkihzLpXr59/f4FHFjwYMJ/X6Z8yTJmS8QrES9GyZhxYcqVLV/GnFnz5p4wZ+r9 HBT0Ys6lTZ9GnVr1YMmjcTpeHVv2/2zatW3bVLnuGq6o/eblk+k5eEx52/TdRp5c+XLmR1W+2qIG jZM+z9LwcQl55TIRxWA3Bx9e/PjVKpnhgIQP3TAneuRo6YcuGilSdPvJG3Wq1jttHKj1q6aYcFwj r0ADD0TQqPg2aaEld6ywwptCnkjkDSSkQQcMJwzZApFbSsgmFjScES5BE09EMcWLHhECptye+CKf L3RRiYk6jPkimfuSWQWFGpBQh8AUhySySOVQqqQBd1YSRIw15ADGCVVU0qKOVZzwrp9jcGFBjREg KdFIMcck87R+yvHCCUIOSagTLo7wJg0pEvnjg1D0gUKORPoQY5cBhonmBlfCKbNQQ1kPpawfeGLZ w4k8pNmolH7WgSSMObJRyR5F8PCCm3Y0Saef/MARElFTT0XVp8Qiay27MFOFNVZZaYLNs+8aI+2x WXfltVdffwU2WGGHJbZYY49FNllll/UrIAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0008.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = <= /td> <= /td>
l Con= sider a simple push button application. In
thi= s case, some event should occur when a
bu= tton is pushed. Ideally the invoked event will
occ= ur immediately, and only once for each
bu= tton push. The system should be also ready
to = respond to a repeat button push as soon as
pos= sible after the button is released. This
pre= sents an apparent dilemma.
l How= is the difference between switch bounce
and= repeated button pushes determined?
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0008_image077.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh8QAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADl ABAAgAAAADNmZgL/hI+pa+GPApu02ovPc7ntDobiKG7fR6bqZYLtCseyYtbcjKdvtuf+z0IBesDi hGhBGpcJG4Noi956EI1w6LRCUNkgB1prnqq0q4f8aiG74p20HT5L3dw6eq6Nvyvzm5zaFxEleFfV J5EXl2iHKBSIdVg4xdh4+De2d/QIOXipuElnaMnJFmi2SIpImgj3RfnHmhq7+qSW5kgpEbZ7K1n5 qvfqCeY7uxZJthCcHOpXVkxL26yLKwo9Ha1cDbqtit26xZba6xypeT2K/b3enf2Mt/cdfcyrKEuu Om7fGms+j+kHX792ShaVEggroTtP4wgFFKYQA7tk77wBtGjtYUaMaa4KOcx3btJFjiS1dcuH71c5 hCbD5UpYz6VMkTRrsopZUyXETf/sfQL0iFinkEO54Rnmq9PEofpmmskUUZw8gyypgmzJFOoopOCW afy6L5O4WR/BwTlbUU5EgzxmduV3au3NnVfGMjRQAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0009.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> = <= /td> <= /td> <= /td> <= /td>
l Ass= ume that the bounce period is less than 10
mill= iseconds.
l If = the switch input level is stable for longer than 10
mi= lliseconds, then bouncing has stopped and the input
lev= el represents the pushed or released switch state.
l Th= e Brute Force method only cares about a button-push
eve= nt because this is what invokes the action. It
rec= ognizes the switch release state as the stable state
and= everything else is considered unstable.
l Wh= en the switch becomes unstable, the action is invoked
pe= rmitting nothing to happen until the switch returns to
the= released stable state.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0009_image078.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCAEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAD7 ABQAgAAAADNmZgL/jAOpy+2vEJzwHIqz3rz7Dy6W1FjhZp7iaKjuC8dymdLtzIwxe+P+D/TpHLXf 8HUMKpfMTnLVKgJ0vF6VZZPmqttLN+qdYrNhDDdiklLL6564/NXy4u/b1co93tVnYv8KNVYnOKhF JrhHkpgAyNjn2Ag5J5l3hzanl0hS+HQ5tuc5BEpJeDi5SPp5NsqpGqnZ5kWYKcsX1hkaiuDKJppW g0uZW0hMjDUbawf8m+Lb/PcMR6ssvQwXqGhN7TZYob1p5oxXe8vMPQ2OvWs43JpNfv4trD7pV36/ DR7szq4r/p4v1xN09Mylw+ZvXECE8waisqcQoASH1xLuMxYt4rxuqhQ1kuLYr1sqjx0lbjyZgWBD W+PaaVAJU17JYQRpMeRXbGZOlgshSlyXUV8yoPAOrgxKNF/SpHGWHr1w7J/FeiVXUbXkrdcrOVj5 GR357yrWTqw0gfXoVWXBb24iPaiqldvZteHGlh2Fy23aqe0A8fQp8iO9unIDuxxs6mVGYX+L7Asm DnHfkE0qW5Zx8eLlzZw7eyYzQfPn0aRL48hM2bTq1awVVzzcukMBADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0010.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> <= /td>
Pro= gram Design
To = go any further we
nee= d to know how
to:<= /font>
1.= read= input bits
2.= set= the LEDs (Light
Emi= ting Diodes).
3.= Con= trol the timer
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0010_image079.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEAMAAvAAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/wAALCAFkAPEBAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APTvCX/InaJzn/iXwf8A ota16KKKKKKKKKKKRmCqWYgADJJ6Cqsd5NchXtrYmJuRJK2wEeoHJ/PFSZu/+ecP/fw/4UZu/wDn nD/38P8AhRm7/wCecP8A38P+FGbv/nnD/wB/D/hRm7/55w/9/D/hRm7/AOecP/fw/wCFR/bjE6pe QmDccLIG3IT6Z7H6irdFFFFFFFeZ12vhL/kTtF/7B8H/AKLWteiiiiiiiiiiiqeqoXsGGwugZWkR erICCw9+O3erUbpLGskbBkYAqR0IrO1yC/ngthYFyUuVaZUl8svHg5AP1xWUtt4uUtEJ4PIfeU3N ueNSGwpbqWHy4IqSFfFaWSqTEXVAoLMpfdsHLHGCu7PTnFSQr4qlaKOeS2hUbRLJGASxy+4qD0H+ r6+9If8AhKvI/wCXYT+WvIx5ecDd77s7sdula2mfbxYR/wBpGM3OTu2DjGTj8cYzTtQeFbCUTrvR 1K7MZLk9AB3NSWqyJaQpKcyLGobnPOOaloooooorzOu18Jf8idonGP8AiXwf+i1rXooooooooooo oqqLBY932aaS3DHO1MFc+uCOPwpfIu/+fz/yEKPIu/8An8/8hCquqNfWek3l1FeDfDA8i5iGMhSR U8UV28SMbzllBP7oU/yLv/n8/wDIQo8i6P8Ay+kfSIZpY7NEn893eaQDCtIfujvgDgVYooooooor zOu18Jf8idon/YPg/wDRa1r0UUUUUUUUUUUUUUVx3xR1HWdJ8HT3ukiJlX93dLJHu/dONpI54wSP zra8KS6pceGLC41nyxezRB5FjTaFB5Ax6gYz71r0UUUUUUUUUV5nXa+Ev+RO0T/sHwf+i1rXoooo ooooooorL8RmQaJKsc0kLPJFGXibawDSKpwexwTzVldLskzth+8cnLMefzp39nWn/PEf99H/ABo/ s60/54j/AL6NRz6Pp11A8FxaJLFINro+SGHoRUn9nWgHEI/M0f2daf8APEf99H/Gj+zrT/niP++j /jSNptoylfLK5GMqxBH05pdPkeXTreSRiztGpZj3OKs0UUUUUV5nXa+Ev+RO0TnP/Evg/wDRa1r0 UUUUUUUUUUVl+Iv+QOf+viD/ANHJWpRXPJo2tRXtzNHq22KS482OPkgAuCwOf9gEAdiagh0HX7eV pE1ZHJgCgMWwH2gZAxwOCfxpLXQ/EkEk082qwzyuzFAxYADaVUZA7ZGSBzirVvpmvx3lq8mqgwR4 81Cdxfru52jOcj0xit+iqul/8gu1/wCuS/yq1RRRRRRXmddr4S/5E7Rf+wfB/wCi1rXooooooooo ooqrqNl9vsmt9+wlkcNjOCrBh+GRQst+FxJawlu5SY4P5il828/59E/7/f8A1qPNvP8An0T/AL/f /WqG8v7mysp7qSzUpBG0jBZuSAMnHHtUqXF26K4tEwwB/wBd/wDWpfNvP+fRP+/3/wBajzbz/n0T /v8Af/WpskmoMu2K3hRj/E8pIH4Ac1NbQC2tYoAxYRoF3HvipaKKKKKK8zrtfCX/ACJ2icY/4l8H /ota16KKKKKKKKKKKKKKZJLHFt8yRU3HC7iBk+lUte/5F3Uv+vSX/wBANXLf/j3i/wBwfyqSiiii iiiiiivM67Xwl/yJ2if9g+Dr/wBc1rXooorGuIftHilInmnWP7CW2RzMgzvHOAetXf7Lg/563X/g TJ/jR/ZcH/PW6/8AAmT/ABo/suD/AJ63X/gTJ/jR/ZcH/PW6/wDAmT/Gj+y4P+et1/4Eyf40f2XB /wA9br/wJk/xo/suD/nrdf8AgTJ/jR/ZcH/PW6/8CZP8aP7Lg/563X/gTJ/jR/ZcH/PW6/8AAmT/ ABrE8U+BNP8AFdhDZ3V3eRJFMJcrMWJwCMfNkDr1qp/wg+meHfD+oNaXWoyFbSXia8dl+4f4QQP0 rroP+PeP/cH8qkoooooooooorzOu18Jf8idonOf+JfB/6LWteiiiso/8jcv/AF4H/wBGCtWiiiii iiiiiuH+LWiPrPg9/szsL22cSwRox3S9mUAdeDn8K3vCFgul+GLKx+1NdSxRjzpGcsTIeWznnqfy raoooooooooorzOu18Jf8idov/YPg/8ARa1r0UUVlH/kbl/68D/6MFatFFFFFFFFQz3cNtgSP8zf dRRlm+gHNQ5vbnoBaRnucNIfw6D9algs4bdi6qWkP3pHO5j+JpJrKGZ/Mw0cvaWM7W/+v+NR+ZeW 3+sT7VH/AH4xhx9V6H8PyqeC5huVJhkDY6joV+oPIqWiiiiiiiivM67Xwl/yJ2icY/4l8H/ota16 KKKymIHi1STgCwb/ANGCquoeOvCulsyXeu2auvVEk3sPwXJq9p3iDStV0+G+tb2IwzruQuwUkfQ8 irP9oWX/AD+W/wD39X/Gj+0LL/n8t/8Av6v+NH9oWX/P5b/9/V/xrF1a+1Eaj52mX1q1slq2YWmQ ebKSMDJ5UgdD09afZarf/boo7qS0FuFxJJ5yf3RgghuSWzkYx7+uo2qWQfy47hJpSMiKEh2I9cDt 70m29ufvN9kj9Fw0h/HoPwz9amgtYbbJiTDN95ycs31J5NZuvzapCsLaarsPm8wJGGJOBtGecd+2 PcVXXUvEf2pYDoyiMjBnMg4ON2cD2yv+97VFpGpeJHCR6nYBHZjllj4xnpkHAwMc960NCuNXlgCa vbokiwxN5iDAZmB3LjJ5BH05q9PZw3DB3XEg6SIdrD8RUWb216j7XGO4wsg/o36VNBdw3JYRvl0+ +hGGX6g8ipqKKKKKK8zrtfCX/InaJ/2D4P8A0Wta9FFFZR/5G1f+vA/+jBT77w7ompqRfaRZXGep kgUn88ZqWx0fTdNs47OzsoYoIhhEC5AGc96sfZLb/n3i/wC+BR9ktv8An3i/74FH2S2/594v++BR 9ktv+feL/vgUfZLb/n3i/wC+BTJLC1kAzAikdGQbWH0I5qPbe233WF3GOzYWQfj0P6VLBeQ3DFFY rIOsbjaw/CsG/t/ELC8Fs0/2hnc28iTIsSx/wgqRnP8AXvinXU3iwSN9mtrcqCc7tvPJ27eemMZJ 79Kes3inz5t9tbCIEbdhBbG4fdyeTtz1xzS6dZ66fsD3t3IrqXa5G5SCNx2rgccgjJ7bRitea9hh fyhull/55Rjc34+n41H5d5c/62T7NH/ciOXP1bt+H51PBbQ2ylYYwuep6k/U9TUtFFFFFFeZ12vh L/kTtE/7B8H/AKLWteiiiso/8jcv/Xgf/RgrVooooooooqKe2huVCzRh8dD3H0PUVAY7y25hf7TH /wA85Thx9G7/AI/nUkN9DM/lHdFN/wA8pRtb8PX8M0kt9EkhiiDTzDrHEMkfU9B+NM8i7uebmXyU P/LKE8/i3X8sVYhgit02Qxqi+gHX6+tSUUUUUUUUV5nXa+Ev+RO0TnP/ABL4P/Ra1r0UUVlH/kbl /wCvA/8AowVq0UUUUUUUUUVneIEVvD+oMyglLaRlPdSFOCPQ024vodF02CY2zG3wA5hXJQnGPl75 JA/GoG8U6fDM1tciSK4jQtJGFL7SF3lcjqcVo6fqFvqdot1bMTGxI5GCCDg5qzRRRRRRRRXmddr4 S/5E7Rf+wfB/6LWteiiiso/8jcv/AF4H/wBGCtWiiiiiiiiiiuL+Kt9rel+Dpb3R5EVEOy7Vow2Y mG0kemCR+dbnhd9RvPC9jNraRfa5ohJIiJhVzyowc8gY/GtNrW3eQyvbxNIy7S5QEkemfSnRQxwR iOGNI0HRUUAD8BT6KKKKKKKK8zrtfCX/ACJ2icY/4l8H/ota16KKKyj/AMjcv/Xgf/RgrVoooooo qrqMkkdsBE+x5JFTfjO3JAyPenLZxgYMkzH1MrZP60v2SL+9L/39b/Gq1zPptnKkVzdmKST7itMw LfTn2NPuNPsbq2kguR5sDjZIjykqfY806RLOEDzJ2QblUZnYck4A69yRTxawtnDyHBwcTN/jS/ZI v70v/f1v8ajuLTELtFPNE6qSGEhPP0PBqW0laezhmcANJGrHHTJFTUUUUUUV5nXa+Ev+RO0T/sHw df8Armta9FFFZR/5G5f+vA/+jBWrRRRRRRVPU/8AURf9d4//AEIVcoqpfWlvO9vdXDlBZOZgQcD7 jKc+2GNc5e6Lp1vbQtFquxrhQIGkUOroFb+EDDHD53HJ6VBp/h/SoryG1l1kS/ZlSKKMAK+dySDc 3Uk7Rx1weMV0WjaDbaJ532eSV/O2g+Y2cBc4/Hnr3rTpk3+ok/3T/KodO/5Btr/1xX+VWaKKKKKK 8zrtfCX/ACJ2ic5/4l8H/ota16KKKyj/AMjcv/Xgf/RgrVooooooqlqh22iyEHbHKjsQM4UMCTVp JY5F3RyK49VYGnZHqKjuIY7m2lt5D8kqFGwecEYNY83hW1uILKGa8uJBYgrAW2HAKhcH5cHgVMvh 21E7u1zO6STRzvCWUI0iBQp4Gf4FOM4yK1sj1FGR6ioLy4hgtpGkkVflPfk/hS2KNHYW6OpVliUE HscVPRRRRRRXmddr4S/5E7Rf+wfB/wCi1rXooorKP/I3L/14H/0YK1aKKKKKKKgaytXOWt4ifXYK T7BZ/wDPtF/3yKPsFn/z7Rf98iqOuWdtHoOoukEastrKVYLgg7DzVuCxtDbxk20RJQfwj0p/2Cz/ AOfaL/vkUfYLP/n2i/75FPjtbeI5jgjU+oUZqWiiiiiiivM67Xwl/wAidonGP+JfB/6LWteiiiso /wDI3L/14H/0YK1aKraldGx0u7vFQObeF5ApON21ScfpUQOrFQc2XI/26P8Aibetl+T0f8Tb1svy ej/ibetl+T0f8Tb1svyej/ibetl+T0f8Tb1svyesjxOvjBtHP9gPZrfCRSuB1XPOd3HSsxV8ejw/ qH9vyaK0f2SXd5Kv5mNh/wCA12kH/HvF/uD+VSUUUUUUUUUUV5nXa+Ev+RO0T/sHwf8Aota16KKK yj/yNy/9eB/9GCtWis/xB/yLep/9ecv/AKAavJ9xfoKdRRRRRRXG/FHW9V0HwlJd6dbwTROTDdea GJRHBUMMEdyOvrW34Vv77VPDVjf6hbR2s9xEH8pCSFU/d698YP41r0UUUUUUUUUV5nXa+Ev+RO0T /sHwf+i1rXooorKP/I3L/wBeB/8ARgrVorP8Qf8AIt6n/wBecv8A6AavJ9xfoKdRRWdr000GlGS3 meF/OiXemM4aRVPUEdCaf/Z0v/QTvf8AvpP/AImmy2fkxmSXV7qNF6szoAP/AB2khtBcRiSHV7qR D0ZHQj/0GqWq6fpl5pr2up6xI1pdrsKyTRhZB7Hb/KrsNgGjHk6rdFFAA2smBxx/D6Yp/wDZ0v8A 0E73/vpP/iaP7Ol/6Cd7/wB9J/8AE1X1Czng026lTVL0PHC7KdycEKT/AHavWEjS6dbSO253hRmJ 7kgVYoooooorzOu18Jf8idonOf8AiXwf+i1rXooorKP/ACNy/wDXgf8A0YK1aKz/ABB/yLep/wDX nL/6AavJ9xfoKdRRWX4i/wCQOf8Ar4g/9HJWpWdri2sunGC7l8tZnVUATeWfOQAuDnp+nasaHQ9O d7SV9XuFdZCRHK3lliHzgKcEcjHfIq0ljo1rotnpTalCsUEkc0R3oCwWTev4cYzVWaw0IT32uT6g 8sRuUSQfeWN1YLsAA7nANRR+HdJmUAazcoJYGiVZJdrY2lcjPbAJx7ZrqbNrV7SM2TRtb7cRmIgr gemKi1b/AJA97/17yf8AoJpdM/5BVp/1wT/0EVaoooooorzOu18Jf8idov8A2D4P/Ra1r0UUVlH/ AJG5f+vA/wDowVq0Vn+IP+Rb1P8A685f/QDV5PuL9BTqKKy/EX/IHP8A18Qf+jkrUqveWMF/GiTB sxuHjdGKsjYIyCPYn86y9S0zSbK2F1eLcyKjKHO93Mnzgru9QG59BVG00rwzPdSxrDLu+ySwqZXb aYASjheegJI/GrHn+F5bCW3a5ja1ml+1Mrs20sMSZ57cBsd6t/2No2qKt3HGHicDCxsVRtuQDtHc ZNadvBHa20VvCu2OJAiL6ADAqDVv+QPe/wDXvJ/6CaXTP+QVaf8AXBP/AEEVaoooooorzOu18Jf8 idonGP8AiXwf+i1rXooorKP/ACNy/wDXgf8A0YK1aKz/ABB/yLep/wDXnL/6AavJ9xfoKdRRWV4k YLorMxAVZ4CSegHmpzVv+1NP/wCf+2/7/L/jR/amnf8AP/bf9/l/xqOe90m5haGa8tHjbqpmXB7+ tQR/2BBJNKk9mrTAhz5w6E5IHPAJ5OKYYvDrQrCZLLy1ACgTDgBdg7/3eKsWlzo9jbrb2t1aRRLn CiZe5ye9Tf2pp3/P/bf9/l/xqrqupWDaReKt9bEm3kAAlXn5T71a0shtJsyCCDAmCP8AdFWqKKKK KK8zrtfCX/InaJ/2D4Ov/XNa16KKKyj/AMjcv/Xgf/RgrVorP8Qf8i3qf/XnL/6AavJ9xfoKdRRS EAjBGRTfJi/55p/3yKPJi/55p/3yKPJi/wCeaf8AfIqhr0UX/CPal+7T/j0l/hH9w1gap8RPCugR pFePMZFUApHaP/MgD9a0tF8U6LrmkwalBiOOcEqkifMMEjnGRnj1q/8A2npX/PSP/v2f8KP7U0r/ AJ6x/wDfs/4U4axpwGBcD/vk/wCFH9s6f/z8j/vlv8KP7Z0//n5H/fLf4Uf2zp//AD8j/vlv8KbJ rumRRtJJdKqIpZiVbgDr2q+rK6B1OVYZB9RS0V5nXa+Ev+RO0TnP/Evg/wDRa1r0UUVlH/kbl/68 D/6MFatFZ/iD/kW9T/685f8A0A1eT7i/QU6iiiiiiuW+IfiWHwz4ZlluLS4nju1e23Q4xGzKcFsn pWpoGp23iPw9a6gltItvOmUS4QZIHGcc8HFaNvbwWkIhtoY4Yl6JGoVR+AqSiiiiiqOuf8gHUP8A r1l/9BNT2X/Hjb/9cl/kKnorzOu18Jf8idov/YPg/wDRa1r0UUVlH/kbl/68D/6MFatFZ/iD/kW9 T/685f8A0A1eT7i/QU6iiiiimSzRQRmSaRUUd2OKxPEOlL4u0O50h4THbXAAM8gwVIOQVXrnjvir trv0q1htHth9mgQRpJACQqgYGV6jp2zV6KaOeMSRSK6nupyKfRRRRRVHXP8AkA6j/wBesv8A6Cas WX/Hjb/9cl/kKmorzOu18Jf8idonGP8AiXwf+i1rXooorKP/ACNy/wDXgf8A0YK1aKz/ABB/yLep /wDXnL/6AavJ9xfoKrXup2enlftcwhDglWYHBx2z6+g6ntTW1nTU4kvYYmABKSOFYZ7EHnPI4q4r K6K6MGVhkEdCKWop7iG2QNNIqA8DPUn2HeoPOvLniCL7PGf+Wkw+Y/Rf8fyqOQWGnyJLd3AMzZ2y TNljjrgdgPYVeEkZTeHUqRkMDxio47u2lBMc8bbSAcMOCRnH1wQahWC0vVF1aTBS4BE0DD5u/PY/ jR513bcXEXnoP+WsI5/Ff8M/SrENxDcJvhkVx3wen19Kkoooqjrn/IB1H/r1l/8AQTViy/48bf8A 65L/ACFTUV5nXa+Ev+RO0T/sHwf+i1rXooorKP8AyNy/9eB/9GCtWiqmq2st7pF5aQlBJPA8alyQ AWBGTj601X1MKB9lteB/z8N/8RUVxDe3aos9jaOI5FkUG4bhlOQfu+tZ8/huK5nknl0q18yVizEX bjBOMkfLwTgVpQLf20EcENnarHGoVR9pY4A6dVps82qgD9xEkf8AE0LeY4+ikAfz+lS2KWTEzQP5 0vRpJDmQexzyPpxV2sDWTpWpt5c15JH9mLo0kcW5VOMsNxUgMAOxzVePQ/Dzzi/XUpGACkhrobcF cDIPq2H/AN7n2pYvD2iWsxaPUgh2JuDPG2VCoueR1IReevPvUuiPoOm+Wun3TMlwkUCHB2MU3KOc Y3HDZ9cV0NUr5LJGEszmGY8K8ZxIfYAfe+mDS2El4+/7SgEYx5bsNrt9VHA/zwKuUUVR1z/kA6j/ ANesv/oJqxZf8eNv/wBcl/kKmorzOu18Jf8AInaJ/wBg+D/0Wta9FFFZR/5G5f8ArwP/AKMFatFF FFFFFQT2cFwwdlKyDpIh2sPxFRZvbX7wF3F6jCyD8OjfpVSLTdNu5J/KlmVZWZpbcSMg3NwxK9ec /TPNRr4R0hbcW4ik8tYxHGDIT5ahg2F/EA0n/CH6MJ0nWCRZIyGjYSHKMAAGB9cAVPHY6XpItkUM Xtw4hQku/wA5yxA65J71Zze3Xb7HF74aQ/0X9algs4LclkXMjfekc7mP1JqeiiiqOuf8gHUf+vWX /wBBNWLL/jxt/wDrkv8AIVNRXmddr4S/5E7ROc/8S+D/ANFrWvRRRWUf+RuX/rwP/owVq0UUUUUU UUVDPaw3OPNTLL91wcMv0I5FVbia40u1luZH+020KF2zxIqgZOOzdPah5Z5YRNcTpYW7Y/iG856A seB1HTP1qzbQW0G8QBdwOHbO5ifc9c/Wp6KKKKKo65/yAdR/69Zf/QTViy/48bf/AK5L/IVNRXmd dr4S/wCRO0X/ALB8H/ota16KKKyj/wAjcv8A14H/ANGCtWiiiiiiiiiis/Xv+Rd1L/r0l/8AQDSX 2lwaxpMVndDMRMTsuAQ21g2CD2OMVQk8JwtG8a39yFd2PzEMVUhRhT2ICjDdRW+BgYpaKKKKo65/ yAdR/wCvWX/0E1Ysv+PG3/65L/IVNRXmddr4S/5E7ROMf8S+D/0Wta9FFFZR/wCRuX/rwP8A6MFa tFFFFFFMkljhQvLIsaj+JjgVD/aNj/z+2/8A39X/ABo/tGx/5/bf/v6v+NH9o2P/AD+2/wD39X/G uL+K0Lan4Oll0vUylzaN5nlwXG0yoeGUgHng5x7VveFtmleGrG0v9WS5u0iBnkluAxLnkjJPQZwP pWt/aNj/AM/tv/39X/Gj+0bH/n9t/wDv6v8AjR/aNj/z+2//AH9X/GrAIIyDkGlooqjrn/IB1H/r 1l/9BNWLL/jxt/8Arkv8hU1FeZ12vhL/AJE7RP8AsHwdf+ua1r0UUVlH/kbl/wCvA/8AowVq0UUU UUVleJFVtGYMoYfaIOCM/wDLZK0Pstv/AM+8X/fAqjeXdlZ3cVp9gaaaWN5QsUSnCrgE84/vCoLf XfDl2ivBcWrhlDABOSOBwMcnJAwOeac+s6BEzrJLCjJgMGhIwSMgdOuOcdcUHWvD4LfvrcheSyxE joG6gY6Mp+hpra74cWQx/abYuM/Kse4nGckYHIG08+1WrC403UhObWJHWCTy2YxYBOA3BxyMMOaN VtbcaPekQRf8e8n8A/umptM/5BVp/wBcE/8AQRVqiiqOuf8AIB1H/r1l/wDQTViy/wCPG3/65L/I VNRXmddr4S/5E7ROc/8AEvg/9FrWvRRRWUf+RuX/AK8D/wCjBWrRRRRRRWX4i/5A5/6+IP8A0cla lVLzS7HUJI5Lq3WR41ZVYkggHGRx2OBx7Vn6tY6XpWnXWoLpMUqxxl5VTCYVRuJHYH5R0x0FUXvP D8ay2d5p+yRT5kkaKZCCEB3Ej0Vhz706bWvCtossUsaxAbxMhgb5OiHcMd9oH4CtKz0rR7iJL6HT kQzKWG+PawDAg8dsgnj3q9a2VtZBxbQrEHILBeASAFH6AD8Kj1b/AJA97/17yf8AoJpdM/5BVp/1 wT/0EVaooqjrn/IB1H/r1l/9BNWLL/jxt/8Arkv8hU1FeZ12vhL/AJE7Rf8AsHwf+i1rXooorKP/ ACNy/wDXgf8A0YK1aKKKKKKy/EX/ACBz/wBfEH/o5K1KK5251m7WW5jms/MVJHQWYt3dpY8cPu+7 g/Tpx1pja6m4u+gyOXyqSLGSJfmVeDtzjac844U1BJq001/bLL4YBjlSSRnZNzdHwv3cBmKd/wC8 K0NH1261K8+znSJLWFI9xlckL2wqjHJ9emMVuVU1b/kD3v8A17yf+gml0z/kFWn/AFwT/wBBFWqK Ko65/wAgHUf+vWX/ANBNWLL/AI8bf/rkv8hU1FeZ12vhL/kTtE4x/wAS+D/0Wta9FFFZR/5G5f8A rwP/AKMFatFFFFFFUNbtp7rS3it08yQSROF3AZCyKx5PsDTvtl5/0Cp/+/sf/wAVR9svP+gVP/39 j/8AiqPtl5/0Cp/+/sf/AMVUVzqlxaWs1zNpdwI4UaRyJIycAZP8VSLfXbqGGlz4IyP3sf8A8VS/ bLz/AKBU/wD39j/+Ko+2Xn/QKn/7+x//ABVQX019c6fcQJpcwaWJkXMseMkEf3quWEbw6dbRSrtk SJVZc5wQBmrFFFUdc/5AOo/9esv/AKCasWX/AB42/wD1yX+QqaivM67Xwl/yJ2if9g+D/wBFrWvR RRWUf+RuX/rwP/owVq0UUUUUUUUUVynxF8Sjwz4YlmksZbmK6DWzNGwHlFlIBOe1a/hvVk13w/aa lHbTW8c6ZRJsbtvQHgnrjNalFFFFFFUdc/5AOo/9esv/AKCasWX/AB42/wD1yX+QqaivM67Xwl/y J2if9g+D/wBFrWvRRRWUf+RuX/rwP/owVq0UUUUUUUUVXmvbeF/LL7pP+eaDc35CszXtIbxTotzp V3CtvbXC4LP8zjByCAOAQR61ct/O062itvsgaCFAiG3/AIVAwPlPPT0zVmC6guMiKUMw6r0YfUHk VNRRRRRVHXP+QDqP/XrL/wCgmrFl/wAeNv8A9cl/kKmorzOu18Jf8idonOf+JfB/6LWteiiiso/8 jcv/AF4H/wBGCtWiobv7R9mf7KyLMBlN4yp9j9elYja3rMEdq8mjvI1185jQn9yMgbCcctgkk8Di r+k6ldX8t0lzYPa+S+1Sc4cZPQkD0H51pUUyWaKBN80ixr6scVW+2yzYFpbO4P8Ay0k+RP15P4Cm ywsIml1C8IjXllj/AHaD6nqfzp1hc6dJDmwlgaPGcxEYPvnvVvcv94dcde9AYHOCODjrVK8n0ovs u57cOpx8zgMvQ9eo6j86f9nuof8Aj3ufMX+5Pz/48OfzzR9vEXF3C9uf7x+ZP++h0/HFWUdZFDow ZT0KnINZ+tX99YrZ/YLRbp57gRurEjCbWYkHsflwM8ZNUbHxPJd3McLabcJ5kxjXchVguWw20joM cnPU10FUdc/5AOo/9esv/oJqxZf8eNv/ANcl/kKmorzOu18Jf8idov8A2D4P/Ra1r0UUVTuNLgub wXbPPHMI/L3Rysvy5zjA96b/AGWn/P3e/wDgQ1H9lp/z93v/AIENR/Zaf8/d7/4ENR/Zaf8AP3e/ +BDUf2Wn/P3e/wDgQ1H9lp/z93v/AIENR/Zaf8/d7/4ENUaaW9rMZreQTuTn/Ssuw+j9R+tT/bxH xdQvb/7R+ZP++h/XFWUdZFDowZT0KnINYq+E7BVs0Mk7JaRpGqMwKsEJI3DHPWop/BenTIEWa4jU KqEI4AIC7c4x97A69adF4St4GR4r26V4ivlNlTtC7uvHzH5zycnpT7XwnYWsTQh5JI3MRkV8HzPL QKAxxyCFUkHuK2ZZooE3yyLGvqxxVf7ZJNxa2zOD/HJ8i/ryfyqAaRvl86Sd4nPVbUmJT9ccn8ak /stP+fu9/wDAhqP7LT/n7vf/AAIaj+y0/wCfu9/8CGpsujQTwvDLc3jxyKVZTcNyDwR1q8iLGioo wqgAD2p1FeZ12vhL/kTtE4x/xL4P/Ra1r0UUUUUUUUUUUUVVbT4d5eHdbyHq0R25+o6H8RSbr6D7 ypdJ6r8j/keD+Yp8V9byv5ZYxyf885Rtb8j1/CmtqEO4pCGuJB1WIZx9T0H4mk230/3nS2Q9k+d/ zPA/I0+KxgifzNpkl/56SHc35np+FWKKKKKKKKK8zrtfCfHg7Rf+wfB/6LWteiiiiiiiiiiiiiii mSRRzJsljWRfRhkU5VVFCooVR0AGAKWiiiiiiiiiivM6/9k= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0013.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
The Micro contr= oller  development kit we are using=
this is the mic= rocontroller
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0013_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/ALEJHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuXMGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGj SJMqXcq0qdOnUKNKnUq1qtWrWLNq3cq1q9evYMOKHUu2rNmzaNOqXcu2rdu3cOPKnUu3rt27ePPq 3cu3r9+/gAMLHky4sOHDiBMrXsy4sePHkCNLnky5suXLmDNr3sy5s+fPoEOLHk26tOnTqFOrXs26 tevXsGPLnk27tu3buHPr3s27t+/fwIMLH068uPHjyJMrX868ufPn0KNLn069uvXr2LNr3869u/fv 4MOL/x9Pvrz58+jTq1/Pvr379/Djy59Pv779+/jz69/Pv7///wAGKOCABBZooH4ntHBCFAk2qCCD DzYohYMNQkihhQpGmGGFFGpI4YQberghhhyGuGCHJ5pIooIgXojiiil++OKMJrY4Ioo2SkijizXu eKOKPuoI5JA8FrlhjiKWaKSQSybp5AlIxngkjlRmOFSGLWCpZYJZcrllll92CaaXZI5ppphohqlm mWmyueaZb7YJp5t0zmmnnHjGqWedefK5551/9gmon4QOaqigiAaK5VAomuhoo5A+KmmklE5qaaWY Xqppppw6asKmoHYqaqikjtrhp6aWqmqqrDp6JZhwwv/qpaywxmprmrTWqmWutc56666/+oprsLoC O+yxxiYrrLLFLutss9Dq+qy00fZaLa/YEkvtttZymy2y03YrrqyMsshki+ieq6657EK5rrvtphvv u/LCa2+9+NKr77z83vtuiANkKEALAwcsY7/5Iryvvwo3zPDDCUO8cMQUT2yxw1JcWWiiGyva8ceH ehwyyBxveMKsUrSAxcost4yFrCeLXPLMMtdMss0j50wzyeWi6EKDP5sY9AlDr7pq0a0SbSrSRift 6AAuqIzFIIK0kggtrWCT9SxaD5T117Qk0ooXg6zcQtAGMy2q2k0LDTSlbDv9dttw+8xp3G6H+Oq4 xX7/2zezfwf+q9993+y3m4QLXiaXWFTdCi0cWS2IF2aHKPjlmIOreOacI1t4tct+7jnonV87Zs8V Y3yxxKq3zvrrqcM+scErD5IINlwTFE3XX/Pue++977611mGP7YXKq8eufPLMu768883LHq/GOuO8 c/U3Z4/99lIPkjVC1wwUvkHfYzO++Q+1YnXZUVvvvvbXx/8+9/O3wOjPP0+Iv9L6K53/2//b3/8U tL/+FdB//AOguwS4QAS26IAMfGACCThBBypQggO0IANdEDCpqa9rAsma8HwXwt+ZEHhdCx8KR/g4 QZQNC2mrIAQ1aEEKBpCGM8yhDHeYQR32MIERROAN/w9oQ3v5sIFHNCAQ91a6JjrRW5uDYuBC98TB RVEKU8va+WCyu4HMIhGCuILlAIc5KkqxdGasYhovF67EnXGNsEJdBfuXrgwuz45zzCMe9+gvPlYM j/Pyo4562AKqnfCQKEwkIhepyPJlbXJnU5cgzTVJiVWSjoOU3SXzqLpNerKPoMyYUPTEq44djnTy Qxz9VKm5M6rMcQORxkHKR5DydREbt3Sk13YpkFyKj5cFUZ8gsAA/NZUyZMdM1ClptkxjaktcpswS o5R4Q0xWE4gNtGY2sanNbnLzm9cM5zbF6U1xChBqWEgEIq4RPBOykJHwbGQ8QYi+Vgwikhscpz7L uf9PcPaTnP7kp0ADSlCAGvSfTKyiQhfK0IY6FE2N+yBFzndLgmzRJK0Y5ugeytGOevSjTbzfP0c6 0IOWlKQFRalJU2rAFghCEOycpzxnKtOa9s4gg7jnDE/KU5b6dKVAValQe2rSoQQtklk6qlK5tNSk MvWpTo0qUqfaVKqyqapHxRJWoWpVqW7Vq1wlYDpbMT5dlvCsuBzILX1pkPPREphmpSdb0Ue+VmAB RF1F01f1elWu7vWvfg0sWAebV8AS1rCF5eo03YXJCRGVsdt0LEElC1DK9tOykm1sZDerWX5GlKag takgTJHRVuzBpr5751tDmFFiBjWzCcQsZP8nW9n/vnaynP0pZDurW9jSdoEJLV37ajVcWBUXTMdN arGS+zfmds65IHVp1XqZ1rY+pKIHuWXVWBGIPQhCIFf4bkWwm92MLve8umKuetFLXPYa172Cg27m 1pte+Cq3vvUV6WP329v+3va/KnUBGFGryN2pFhvfzRorhNk70mbtChAm8CERor5I8hfAFx6qfzWM YZYalanIBbFXQ3xfqpL4bCcerlJTzOIRu9jEL15xjEWMVKJF9Jdxnasj1RcNiXY3vK24gim0pr5W sCAQApFlCQURiFsquSA6BqZCWkvAFsP4yn+1soyxTOMte7nLYC7xl8UcZhTPuMSLrSyH15zhNm/Y /5sr+2Bo5SmIPYRXyFcI8nSrFt6XvlTPJtTaFUg4y9QuksJ2JaabO8zo3DZ60WwmmlHNjOKoqbjS yMW0mDNt6Uxv+tOUvrRyOz3qUGsa06I2NalR7WmVeYEVuzvfkxFCXoGE0dZ9zjNBBMECXV/hGoEQ r0DcqmuIrLa6DBnby0pd6kuvOtWddrapN/3sU0eb06rOtrSh3eptdxvb3lauft9M7keXO9LXxIIX 5Czh3oXxpXnG8/cyGkYgC4IVgoDCIYWNaMe9G8jwNEiPKYfugkP64Oc++KRBzW2Gf9vhEJ+2xBs+ 8YdXHNQ3pmeO4crLVkCBtLbmM7zvfVo/93i6T//eccj9rOc/e7zILBiyxtU6Zcpd/OYUz7nFdR5x nuN850DvOZgWm7LGtsDoSI/t0ZWedNou3elNZ+zTpR51x07d6lUn2tLV3eN2n7DYCP5an2f+tQ8u OIT/vndGwyto4HnXtNOdM/mu8LL8XR1Kdy860/cOdb5T3e9YBzzes673vhv+74cPvOEnfe3Gs9rx kH+85CNP+clbvvKYt3zGEZLyg8w6mLUOpsvrDeQrQOFrVvge27FR7Ct498+5/u7nI9KKLtT98rjP vO5zz/vd+773wHf8uM1NfIMn3KdY+LUs5c58EGbUd8G+d57XjssgB1lrdn73914act7tYciAbn7/ MOl+9+Ij3Pw/Lb/xq7nwnwvd4dWm9s/jj2Wg07/hLkj+vDmuEB0zGfbbN129BGFFFkK/xnrhZQXf VXqDJhDB5oB+VjUCmFEqRHNoNVdeQ37XBn/up23214E+p3PVdn/gFnTZdiX683QqmIIsqHUtGDUv uIIuOIMwSIMyWIPXVHRHpzI7+DKFp0E3uHSFJ4P6t3zxxGMo9G6Clmd/5l3YYAq3BjyscGffo29B xoR9lmC3pjXiVVqwdGyhZYTjp2g2GINmWIZoiINBeIZDyIYy2IZpuIZxqHhC+IY3yHjBl4e/l3mj ln9Y5DIr0wdYIIh8gAWF6DJYZG15GGcOcYVM/wZ3FmVngfBgwQZyEAZvutZFUIhruISFA8FyUpYS yqaHpLiHpXiKppiKknc/cqiGZ+iKZYhir3iDC6Qy+Tc1uDgIfEA1pTUIruA9rfCL9pRRp+BCuYgF WZCIlNWKW4cFrXBgisQC8xaFIXR6AFc12beFRsZu9RZGH5Rg7HZsFtF8T8ZOdFeLs5iOc7iOsNiO zKiO7giP78iOR9d+IViC78dq8PeHteNCp1Bks5c+6lOMVPNCUpAyJGhpjXOBHHcNl7gH18B9n+h6 YcQKTsh6fhZCe6BL6sMKwfSRHlFrtmSBu4YFjtdsnOYCIjh/HsiB9+iSipiPPoeCgzeDcHiTdf9I hzpZk65oi4Koi6V1UwlxUY0ojLmYf/iUk1AyA3HnTiYEcIKWVuFnfXdWNdEAfr5TgWU3cglGaAQR kMZmaCvUNbk0THqHky14lkpZg2hpk3aYlm/plnA5l3JZl2yplG15l46Fh6rYl5bHj7wojiPRCgSJ jHf1eDSwbgVBlHQFgRn4es/Xcdn1iWKnPlewYIGgaxWIE63ll56JiqD5maJpP6MEgzuIkKe5g6ap g6upmqmJmjoIm6cJJVJQNoH5EiqUUbbpAlYXNdzXfAJYQoJJU9Jwa5f5YAYYaCHRfOXzUrKYmq0p m6z5ms85nbFZna55ndGJndKZndBJndsZnuD/OZ7a+Zp8eTYqiWrpGW3reXntaWnveTYs43I3YU85 tTLpuZBgSJKC6RBRRnoGWJn0hBKdZ11qpVF9qHvxqZIK2qDq2XgLuqCPJ6GjCZ8QioLUeZ1qGZuD N5samqF4Vzt8IFGLWWjkY6LY4ArApKJoxaIzd58vdICoZRAuSmGMtI0AV2zSiFYkkTVG2E4ilJVB pmiv2aEb6qEZWqQaaqQ5maRH+qFP6qRMinVIyqFQqqSneZ4VynuBuIunIBS66T0wQWyPxITfFQ3B iRNbNDm3t6VuGppwyqBxSppB0YPU6YOpiac6qKd2ep18mgVTA0so4aIuqksqWj4qCjmFSqLi/1cR BcZ62xeOXnkSzGmWd3qpfoqpeaqpe8qpfbqpmRqqoDqqnSqqpUqqjMeb6ImQqiqnKsmq8Amrq4qe FiqfttmfOYFdBVhLscSfGxFX+8mjK3FsczU5UlCrr0qrs5qszLqereqsstqssaqs0rqs0Eqtz8qq 0Zqt1jqtrvqs3nqtKomhV1quTnqugHqbaOVWv9Si/DdzaBVXiao1V2kKe1AK4McKWAk5zDmgF9Gv uNqj9TRh1zADL8On5pqw56qwDLuwDtuwEPuwWSoU3wqhqImszoqx0SYFfeBCARtMYlMSIBdCdOAJ n1AKn0AHpnCV4rirjSmwuroTYNmuYWSSF//brThbsdRaaeC6s8jKsxCqseq5rUH7oEb7rTdbrcmK oXjatJ/qtCkDtTwYtd3jjPsJhoPwBYrArgJRqCn6tYpaEPz6tVmjoqZAB3QQDZBjCp4wZLQQDXQg qYnEqBPWETQlgUHpryoxZ8dDtX47tYArtYL7tIT7t4NruIUbuIl7uIqLuI7buCozFNpqrZPbrJXL rSpZO2J6EImQUwjhCljwBb64ucbWCqZwurh0sgbxCXqgB58QQnqAryn7CfI6EIlKok42mBYlTCzQ uwHwuywQAL0LBWM3pgcRPpTDoJe7vJTbvJbrvJgbvcz7vNQrvdA7vdbbrFfCuNybuCljm8L/5rXY kAhZRHYZlQhfQAhfsEuyBDnCibqfMLt64AmnFbu94wpwe5VtqzUpWwrfp7KhpaLChkLCKhHu+5EZ lZm9JrzCywIt4MACELwS3Gv85kW6K089ZrCLu8GP270dzMGQ68EhDMIiDLVGJb3Kq7yrqsKvysJT s4uC6Qq/+AWim1Ng+AVTU6MCwQqf4JH8OwdDVgp60EUp2wrxiw0HfLakRQe0iw2xC7unFbbzqqJU TAv8+rGRcwWB0Lu9KzW10zi4mHxX4Lu9W8EpcT7ho0JXMAPHWr3amsIt7MZwzJssTMeWO8dtbMfZ q8fYy6DZisfXS7kqzLQkTMK4SLcCMQiK/6DIoiu6U/MFrvA4wTgIlHm/X6sHmEAHvVSypxW/WWMK KYsNe9DEX2MKc8C6nwDErVAKKjvKAFxTYvNOILF2YyxGw2Sbx4jLuIwFvrt6J6GVTylGJVzIH1zM I2zMw4zMxBzCkhvIbty8WASUCIG+NCy6WVDNNJxT2Hw7C8HK+wvKo/yETDy/ejBkZ/s9kczEwWjE cQvOpxuMtFDFihrPKUrPj3Oo3xN6GfFSXay5uvjPLEOIu7iLOXWIM/C7YCeKA6s1M0ADe4y9EO3M Dy3REf3MFj3R1bu9POi0B2unHU21H90935U7jak+uejIWZvSKI0FkYzEJqSiozzKpvu/T/9Iv133 yZ5QzuUsCPv7NTf9tYdKYOzmEWHEAjNQSLuYi1iUiCZ5sFKQrsfIy72LxZFzQhytuCHd0Ve91R6N 1Rv91VwN0l4d1mDd1WSt1Wad1qIUFHHMx3hMx3kc1yrTB4bUtZG8PtqczY2ctdnsBdgsugVBqAJh CnrQCuX8CafrCeoTtwIRtqCM2A5Gz1Ms2fFc2a5g2Zh92Zd9z1hD1RDhkGOsMrbJB4e41CzDjytj 2oF6nw8sAGaMUWkVPvjZ1m98rHEN1ytM23Cdwrb91i3c27/t1rqt28C927dt27nt1sXd22/cwoSs zI/7k8ImDaBrm3udyyed0lOTBWKDNYf/BMqme8qL3WOIfUhBDbAyRaIaAWEI8MUvlH/w3dTxPd/y Pdr3FLyvfcEp1ArH3N/J7N/L/N8CHuBP28xyfMfPOzWeSxBZuzLWrNcQrs0qrddZmwjzmjWQgzVx a9iva7plJ8/EU8WHKsMhrjUyjNnBuNknnuJYs+Ir7tkLsXYsoOBgfFenfeP4ieM2/oc5NQgzwAIm kN8Ca4A0ANF1LNcXbeRJTtFHfuSCjOAP7eTjOkpoXeVljdbfW75lS2TZvdfXrd1ZqwiPbN2IBHLy dN5eN1PeLcnEkzWby5gQAWEzntT3JN9NbYtNneP0jeer/cABAOO7pEiNmFZXXuhWfuiG/57oiL7o it7ojP7ojg7WkmvclM7clZ5/NnwQMhzJofvIFO7lfP3XKb3lIu7S85rh9nwK4Pw4mW3ZJ77p8fzq rq7ZLr7pm87i6k0RgvDAV3CfgmjjqQ2Iwh7swc7jOfXALNCIWwmFeSsRWrTGxH3plj7t0l7t1H7t 1p7tlh7c2N7t2k7HGn3WX/23t8pIuCzmKq3LK63SivAFa75IpzuJpczE3wdaa37vYJPvbb7vbC7J tDAIcN6IvOze9A3fP4lF8f3Ug4iMBS+ig9C7DbgQLLBgHek4LTcRD8bVjC7W4p7V417WiGvlHB/p HU/yY92DBv7Hw83Hok26LqrNLKqifP8d6jRfwzWfzbbji5pt4qfrCmfLunrAxD4ft7SO67Xe4iqe 9EiP9JFc602f9L8Y8AzBa6Idxvz4h78+7DlO7MCuuViAADtKYVy5kTkqqBMhCBoM5Ugu3FGu9smt 5CrP9s3d9nL/5Cw/5XUK6VqNlC+Trl+aSOTruZIc+GOutWOeyyhtzbbzO6wryj1tyqblCYFA2J/Q lfoOPFiT+fmu+W2u+Z7v75LsCkIe411sm6bth7XD42Zz57UjNX2gMghvkrh8BQEw+iwQgVjoZ4NW wcAKTGGU1npv8sE//MJf/MSv95O+7d+u4JTctUCNDQ3e46DeyH9N/dOv1/Cs2bSwB57/MPSsTtin y8SQneIp/vS2bv7lX/62rv63fv4yTP6AjmC9W5BcH9D8+NbR3DKofVf037sKUXoA0epKq1aCrAgS eEUQNoYNHT6E2GoGFhdSKl50cdGixYwbNX70GBLkSI8dSZ4UKdJkyo8rUWZ8ydIjRJo1bd7EmdNh Cyw8ffYE+lMoUCyDFrbChlRpUldIsXwp+mVQ0ahTB0nFalUq1UFerg4alFSsK1N0yHoyha0pHVOC PO2h5SopLaV059ZV2pSu3VZ6W+3t+1cwXb96EzUlqNNhQRZY+EylmMUFRSxFXWSpPFSoxUGTsfSZ LIUyVSwsWCyseUU1QoQC22JjTRNp/85WXmZI0RxUd27eu333Bv5beHDiw40HV5xc+fKHPTNStEjx +UXpk1tIAStIrlpstObKdUV3UJYvWKV+Nb+1PPqr67F4wXLYVfi/pjwRpHMqvClMplzteesTPUzp a74Cm5oPQQMTPLBBBh9cMEIEE1OulSh4skq0yjSELLPpPsTIssqw0JDEoqZq4TSbCmqFFYIobPFF 5hqK5orqoJsMJo+q2xEmHHmkzscgXQISxB9bmC46JHOMTschmczRSCGlbJJIIS2aMUstabLuON+K OkqspZCar6qsquIKTfa2Gm+qRPBKqhU6WhnkLVMA1ANBQe485RTA/kzkz78CHWzQvf8IpQVRRQ1j dJDZFBPkChasqiy0njAbMbguP2sBMks7FWSQFK9Y8cUxxWzoUVVlS9U237osLlYveYJ1VltlxfVW 3bbkdUuZXprMqL/UiotY8LBpb73yll0TvWXT+yrQBBWkpSwCAdyjFAKnDW9aByU8kFsIFSTX2wkf DCs5QVho4bENR4QXC8lc+jVee0/EQgBSe9XSxpj+/TVggAcWuGCCD/6VX4WVy5XWqRI7dcxWuJLK q6y8SnMqRSz+iis4BXOlNb/uYgpkkwkblNGUAz0s5ZUTdYXlmGGWmWU6k5N0UtJypKhDXV0YRIo+ KqUM6MdmMG1hh6TRqaCJGtYVaqn/o6Z66qGUxvqmH6+MMkrsipLrr7Dni2s+bBJR9tn1vFDWWbDY Ns+rQSAkjD6yvyUXb73H3Xs++chtGfA3IdXXsalKLJHoJ7d+bsQ+EK/MqNIGyjq5SpsU6cbFN68S Ss0x9zzIzhkPHfTPuyZ9a9O5Vh3Kyl/fCahaad1tkMciRjWvEbXiXU02s/pCESzMLlTQRA81DHlD XT5+5ZgZPSzw6J+fvnpX5j4M++tn286mdTvd2USg5c1M9ldl7wxTS4EONUUWcmeVoVXlT7V++u9P SpCnKRtqdv7/918Az9c/89GOgAfUjQAR+BMFJnCAWIBdBDM3kh+BhUxk61bd4iKe/7clq0PPapZ5 Isc3Ei4oXHl7UGBSiEIVtvBcLvQbggKXLtqYoF0+u5dkJjjBe8ULLFcIwL6igY1rRJAm/urRDj+C oyQukYJPXJ0SmzhF0TlRilWkIuh6ZMTX5QpMYuJLGD9Gqa+ECgvC6woZ1aSdwbQMZTA7WfTgSDM6 ytGOM7uj9fRIPT5qz4+oqomFTECpyORoaJXpg6wqFTQSSaZnjxkV/B7lkCFGhCZMo43+qrZJq3HS k53sDRcrpzrRcE00wkqQBgukygTBrT2JSIq9PJiVBiUCLHMDVwlRKKHAHaiXCfpbMIEpw1ZMry/S ixmFcGKaNI2IQ/BaUuukIy/PxP/rlChiwSQnycVoXI5JpcQcOEs3TmlWRJzQOac5SVnOKJJTnayr 4jpFl04siRJrXcJnAXuGBYgJimSCuQul4EOQkIHlemT8HcpcYbHnuayhDaUZH/eoven58XkWzd5F NZpRjl7vevWbH0MEEYDIUVN8kSuRAf/HE8scspQmAhMWgoi7pTCkeyHFqf3EIj8v0ECf+VQpUAH4 U6IGtahDNWpSkbpUoTb1qEK1J9baubUT9W2XCALLed4krutVhm3saeFUpHJVFZrLhWeV4UORuUe2 StStiRhcTtY1KXf10GdYrGYPdweWSEY1Iv5ipzunGk/CDnZ1RTOsYBUbWMYGya//C1NqrU50F8CU rLKBoUsioqIyOHoULE8BbaM8WrOIdrRm1CstHjc6WtRWdLVzg61nZQtbiAHyIVFg1ynCJwVHkiZ8 noHM1wopPtsNQlIliEYRabrTh2wzS4LwAs+UysAHCpW6C3zqA6+71O12V5/ejaz5HqswdMozWAjJ ZXrJBRlH6bIpXpEbQdV7TFve0r5gmV4MY3jMYfqtmMkUhC0FgRC2KiJ6Bo4ZXBMcPbgqwlH4c24r 2NXMe+FQr++KVx/MCETUVJK5IcWaIC5HTxLLM5wm/iaKyztPFb/zxCyG8YtlnOKLjJdfTqXd+Ahy KB4zT1GEwd4cF8Xf5am1j2jk/8pnixsVW77WyXPzwoCNi4WJ2MgLrLVobDMaWy4nIkyneoggBIAF M3aGMrwNzdCm8jjMYMYq6zNRC/pQ15lK0s41/TCNdBpSwIbXz0zN7p+3i2NA/5nQhz6qjXvVpKI1 +jIWvKpZI71CB5X1heeq730dVT06ZfqWbc0efCKnmivAR8oBPvAgGsxguLbawKs28KtVzRCmPQqT rWoMWPhwyAuTr9fwCtVUTMMKmwxxiEXEXyCvgWzFRJfRPIM2VaMN7WdL29HXnrajq53tIGHb26H7 trW7nSNF8wq7LDUKYDiL2Tu+LI91fKtblazleGePTlahqGzhqhAys6bJW55KpP9CNVuCD8LB1zu4 wReiXDszBBEyGLOSeYbm4VL8MqFxJJoFahrUgPTOtl0Mi07F7Idcw0bnXul3jeO/3DQQvClX6ctd rvKY05wy5d7SiqUATg6JbL66xNvffJnW/SY4O6o2sjGf519dG7R6Cm51zJAOVy9oViHGFbFqDKrq RDi4wVz3etfBbsE8Jxs2zFTyry/c88+yIAAdtySEsTHEVkTDw/IbcEFOQZDWEOTuIp0BjXeuc54T /syGf6f4Bu/iwjMe8YtXfOMXP3nJVx7xkUcnzrV06If5mLNuXGu8823ajaKR3hjF8mo3i/qqk9lG V3AFFlRjm+xcwSiJqDqXEW7/cN472PfBM7gXZrPcMY0UX1PJeMZHc+bkF6WuptnXQ2r9cdzl7xSs YRH2W7NTQRg60IUGP6K9P/7wf1/8ENT8jMIdLKSbkKyUvrQKkRlDPdo3raCud6Zj3XX+k7rUpoYu 6MIvVzMw+/ICr/A6VVPABLSlTNOpxZi7VJEUDHmMulK7EQm2qQAiFakJYxMLuovA6osTvhuwEjTB 1riG5LqC6Vi/FuQ2F4TBF5TBGKTBGbTB9JuR8AKT1pKo1DqtPKq3JzO9PpK3gtO3gvoCgnsP24Ot rbsoRagY4/KC16MysIDC38PC4NHC2iI+7mOBKwAfNQKNinMzroAkt7sCYgsk/+q7M4jJvusriLYQ uWhwEUHIAkEzP5troPPjwzwkvz6kHRxkjsf7GlyqNMAhuqVTxPkLwuixP7bytAzkuuv5ula7pbDD RP5LwDSKstfzApaCj6/yCrGzJQezQq06j4aYPrkruUBQjcjRNDJLMqO4JdkzDQ68CYYDQeWKBqXo xZ3SO9Y4tbw7wQGTQxFbH0ZLJ8xzvGR8qcZjxmiExmlcMWmsRstrRsijRnMSxOUgtGArwo5CvXF0 MnGMmSHUvexRIzVKuNlCR4+KsviAr6lwlRZYDa7IPShEG33cihHxgivUQih8sC4Es/yxAjAsqVos rsf4rJ5wuy9cEY8jSFQxhf9T4AM4LMHsK4gBuwZBYIUBUwjV+ELqkq7y+0M/NMmUBESV1KduVI5G eyngEhkX+iX+wr+blKhMox4Ey7Si6IP7chd8Y0Cus68GxL3KmIgZELX3yIreU8D6ugKomEIqk72e YJtSPI+r0CY780BVuQZTEEl7tBF/rAyRZAEE+EKESI7lAsGI2chizMg9QQg6BMlI2QP/C0t75ImY PDwbPKmJuza+fEHB/Mu+hMnAREy/JMxsKyWXTI4cAyCr+MFyTL0tSz3dS0dXOI+CW8eMyRjY8j3e 64rQ3JgphA/c20esSM2jVMoz8qERwb2rAEiAjCs2LEj5aYVAEIh1uYIZaIH/AHhIBLCC03CuiJxI 3IlLjCRGkdtNhdiDSFENVggEgYOCKzjIkXSgldRO8ylJp+rORoPM7fQ+nnFMxbhGyril/lIrgrIe +pMonoS6+Ey1AVywg0qya5OXDDwRUyTFrLLCTxNN/LKNqSzLEbGRKDwP0kzQBdVKCGRFsWA4miii XuxI1QiE58zIOGmaECSiD/TQuis+uIRLpXBFgbvQKFiNKwiEjxSEuzQI6GOXFriQw4SzZzzPxNvG k8pRG63RG9VGw9zR5cPR8tQJp8IOPvgvc1TSymRSzJwt22kyLlMjQPvMKzS4L/Ay2dRCqKBHpeyU A5yI95gBLrUYgNQYLOWK/xYoj9mUim06TrG4tYgQOOecU1Nprjt9U4kRBIscsOvz07gkiFkISYFj AVcs0dMY1Ej5wkUlNUYlSfBkyZOU1Eil1C4h0pzQ0aLJgttrxHrjyU89MFZ7Nf6zRFUbVVN0Pk8p TJ4pik09Ef3TtM+awt4s0K5Yj94jhK9IllJDyrEUtfM4D0L4gghDFRA0VuaCiLp8Tgw1RoVQjKU4 1jH5xUcRUbjcu2tABOi8UBVlBUMwhFUIhC7Ay5y5RRZ4Tlf0v1ucUR5d1UwdTCBNTHeV1xlkV8Ks V0e7VJzINnTTDnN00n81wn9NOIHkvd1DMlUNz0ajGDZVhFAJyC+wDS7FCv82RRt6FFMqgxulHNOi KFMsfdjapJ88RVbYEDiESFT5oRycENkxMQU+EAQkLcHrI8YBSwpWGFQVRQRZAARZaAVZOARw5U0W GM4JRNQ5JVQYlRSEZCBHyqd9BTS/hNoalNp8yletqab1+bSdZLX6jLquVbBJHLtKJEWxK8r+JCQ4 I5qYzIJQ+UkGvS+ClU1FEMiKCcUZILUwhQ8bmVv7Ilj4wC+s2Er6AUH6iVA4TRW7RI2NTNmkWFxW QQpd/NBptbs4GTBmrVakmAVBEFd07YJV8FZWuAZWWIVVYAVECATo+0grsIJtFcu7NMtyHdpFbQF7 jAIhHRG0Ncy0td2X0t3/qz2p3r3d383d4cXd0Kham3CqHcxMgGXeghtYpzQ9KxVIqnCkP0sThs0q M30P0BpQL/Wqp+jYjZFNpmyPUktKtjFTPFvZ3BG4PXAIk1NLCVSmVVlfpKhLhRDGQXVZpPhIUouU LvhWQGCFQ/BZnp0FVyROVgCEalCFaliFUgjJpF1UK6CDT6jg51QIFoACRm2MR422SRXPSv2+460J Gj0lVDvHUF2wU3U1V1tAg+vPBuQ9Gc40GlY1hgyueH2pedPVivVPg6vKeFFKZlFAXe2YEaky45qI UPxH4NnKrixWD21LImrO+EVZuGPc4oSfkM2dac0fDOYD/C1Bly3B0IXO/3QNhNElXVbgWTP+woJY BQauBmuwhmpwYFccTujTA0+4hE8whVLwBD2gA0Ut11uc3dk9PNHgS0WOV8DsUUth5Giz10Y2TEcm YS65thZws+YVWND05AQkzd4DPn10WDY923DLMUpJzSsM363YGH2MClZGD9OcCIgNU3r8HYptU4m0 TfgByY3UpoEIZmKtX9dIVKPF3xchNZuFzkIlYNAlNlawWRWtEQamBlUIXQa2BkDA49PYAwvGhE/A hk/whAqGgnXBrSuAgj2oThW9zqRd2qh1WnmeWhq8ZIiw3d1Bta8VW0wMW7KdYUGwYD3YA7594f88 xYROu3ze3RP5Ybi1r/+sZNADhFgxhQqoGEsz0bS5vWhVS8XFmFykoLuQjmJV2U2RsmLGxWIXaS6S HmlfhGlgdFH/i5QwFoTpHDAXIYg0JJCdlpRAOARpvoYWMQgrWAVTCARVuGZqsOMGnmNAyBlBKIVL wIQ58ARyxoSr/gSRvAI68IRw/oRS2IOxJtdynV2EJJq0ZmjfVWu2XuvgbWu4fmv0u+eGaCp8c0dP NlhRNkU6sEIseItBABA6WOWH1cfHMGw2URzwW9iHvSXHJst6JIoDtIomNtMD3N4veBpXmYH07eWJ zLuGeM5hzqn6FRNpyF+bFuMrwEhghpigDddD0E0EDoRSWIXVbeDclmP/VVgFKLCCPWgFK+BjT7Bq q65gglYIPUiGOfgEVogGr6YD113UcpVgpUXlpy2/79TuodruunYIeQWTfuY6ozSKFn2MUlQ4T+AD B9sDPTA4ReADTFhvAP1bTfPhW6or3oVXh45oI/bP4CHQjM7srCzip+hHqvjEjIaPtrklXo5WNuzF YLbignBW4xSToU4KDG8FDddwkZMGEN3Icy3RtxSEjpRmg2jURjWN1YWGVZAUK1Bqprbj0cXjUiiF QIACOshqTKhqT/DxuwSQr/aEaDCFTwBr1yXkCFbRQj7rvWzoRw7ee/VdKZdXHvVuu8a24oJe6P0C Qajg6N4D6ZUKtsBS/zooBS0cBDrgg8Q20+yF7DdzQUphWKko5TMx08ROo6joKaAYYlVm8y2cYtPG M4YY1Nmo0+IUdMY112SWmL0z2d28aa5mVIWoTqH9wuccziDSbd4OhNPt9FLYYHMt7nIOZLvUceMu Z3K+k0gRCA1el1DP4EJe9HWpSmhj2nqmZ7+8coZo6PB+4UoEi+i+Uq9bUIKGwrHeR7co6CtVaLh1 W3w7HP22FId26GcPVolO6PLAbKq0W/i66KYkWGd/7GEFqeTK8F2EH8jdcA+739YIybvUYhlZ96Tw YrqrrbkrZJruO7lA3MrlzUpPS1c817TM9zTm9D0Q2kCABhw3jXWmA/9BRu4vtAI94PEd5/FP+IRt jeqyJnhyTVez1MsoYOS4Jvm5Nnm39oxdx4a73k/gQ02De04+eIsW/YQ9KGxFiO7gAZD19gIL1tI5 F98/twozWqqMYfNYhmzNErWk5PNPPMCb/3Ogp+tER05ktnr1/Ww7ixTgnG4OXo0Ba+c5TdpKT9qv P41IKYEV/8Ilf/FCnc58V1GRhIK0XwEoQHXjrnnXNYErAG5FLXv8LdpIOYh8X3ta92CU9E7wU3kT KRGZlGEHM3a3GLCHJ+g9wISCRnPBdm+pwJY9GOSmbJb+xnYetjBo7+8fDv2r2F68reW0+QJhNeLR T31awobpO04vVgr/DY9wkARy/01pCITWDn3fDM99pZirhlfxQj5I6IQCdE3XUJ/gW+R4jpN709gD gYeCDe7N6Zd+OvD+QK58vo9qAlldIkdUdT57DGYFU6Bu8X/dkURrZ2L8KJ//4p1/3W18lee24jLs b44yOgAIRVg87VH0JRGdT18EYTGoaI9Ch1gYOvxS8aLFg3wGZcQ4CMvHkCBHivSYcZAggxwvYvkC 8mTHmBgNJpL5ZeXBk4KwteLpsyfQn0KDEh3Ks5WgVoEEJe2J7SnUp0WBXmvV6oogFliN9oTCgkWL r1rHXiFLFsoVrGbLsmVhhQXTVqyQBmLhdWnaPYHKCrLiic7fT3r2/5Z9K7bE1ytW9ugplRZu2hVZ C7O4mrZvWatXk7JCrBVr2rJjvxrW2uJKCyypXWRxgcU17NeyY9Oebbt21Ny6d/Pu7fv3btlYhA9H WXPQIJV69jAnNEiPHj6CGAtKFH3QceQ3k39xnp3QdpXakZMfb758SOQgz2/niJ49cvHuuce/ab8+ +fb687v/4rRVVUcBFc2ABfJE4IEGAhiNT9f4xFtVTVnFE2hXtLJCYl8piI0ppWnFx2NiiXUFWlaQ mFYUn4lm11d78MaKXY9dBoUVgXziySWfRIMjHcutKGKGoQH5GYYiXkEYXEwpeZWRoQkS4pBAltXC asMR59pwWF5ppf+WXQ4HHJhhigmma1XCFpJNgszhSUM3QbQHRTbNJCedKrU5p0o3kZQTnvr12acX p5HVghcvzQmeSYNMxRWjixbFIFFIMcVTViwEwMJSLGBDYACm8KUVpQFMSFSlAvAVWmiXXjqiimuN ZcVOUuWGlFoZQhHAHph8MkcprJiypq6RkQaFHlDstQerYgWQ14hOOssUK0+yeBiIl1U4mlheveUV W6mZKdu3Zd6mGrhYjHkuumNyiYUU7BYnCH/JDcJcf3mKB1688+m733vtjbffff3mG3B/Axd8X6BF AonhDC3hex/E/S0EFVVEVRWgVdFUFQ1WTg2lGVOhWWUpCyYs28r/pVglNVlZJTBV2VskasjTxgCu WJZXyUY5ZFtixYrNkx4/JQiSQEKBySWYYOKJ0p44jaxieiztiWDLSbvzFXugykIUaUHBWcem7CxI zold9qSQOwMZhbdWttuulm+7O5zcUqR7N9671VZmFvB68WedgQM+iBdoineKFzWZtDidKIGneHcw GYSofQKxsIJqX0GBYQllYTHDFXdWPvpKWADYqFAdatqTICuIahUr2IQYwMsBGIYV7UlpldVOPVW1 6hUBZPrksiobRfyRZD9JtmgmfJXiV2iPxS3XLEKVVQlOxdUKtmVb8YQna4q/6ydoZf3JJ3REY8qu nughdoZBdu+Z/9aSWvjjipViK6S10v+oc7fIxZq9jcs1eTtg3rZkJYPR51+EK5x45BMegLnEC14I nWpCl6/y8Gs+2dnXew7GwPlgoQTDGVJqBtEwLGiFPxG7T08ghaBWzJBAEQrAsrBxjeDRDhta4d5n BGGKrNAwGiYi4tDgAhepjCxWmYEMCxwEqQRRymd6CYQpWMGKvexMa2eL0s8qdQWgzex/RmsaHZqm BxPtATBLMwX7mPYJx0gJVVDy2ZPglBQzfiZKtaqjtdKmNq9QCTXrytIhEahIdImrkcX5W0aOo6cH NqySOJkT4T7yhRWyZQZfYIEXaICaL8QEOacwlODkVLjIAQ48D/9ziOe8wIJBfKZQXpgBLT/CAtHh pJdeQF1RsnKpnZCFSSibEIPEGD2UNeVUyxIL95ICNEFESxDX6B2jRMMtrFjBV0G7Qora0pYnpYh6 FuKeVYJ3TsSMcUUK84xbwidPOtQlEFCY51+cVgpMlAIuWoPiV4oXPBExxVNxyda07mgX2v0Iel8x QTjNl8fH5Mx50yILVsJFrkVyNEzuahfd2IUceLkHC4UajgUr2BKC2eekhQIdFq4AuhkEagapueAV avqSggnshS5Ej0+DKkJSUimmw7nCTQjBwgu2gJZIHWp//vMTG9IQQDT8XVZghSkifqp3VQFiiLi3 Kg1J6zKa+Yn/g3QoILloBih8jFFauLg7aipJoclq5zLZwhO1mU0PPfJRtpgm2Km5T2XmA1LnvMgW C7GifvoD0mObxFc/OkuQQ7paUa30mo5y1jcExNJHIOcSl/QykiM5aUsEFShDVjJ0nRzEaWK6y0iW El7wIh1t5bNKe+GWt6VVyWloOhKXfE6WFvyKb2GiKGAKJSuBQJmlkJKk7Jltd2k5SmVCNilH+aSa ksLL8jrGE7H9aFVhOUxivpbFuYBmMjrrXWJkV8ZVlY0FCGCBqbSyGBFdagXpE+ya6KA1xWIlGuYT EtraixVW9AyjcbmaEuN30bLxkS1CvFbMZJSYQcAmC539sG4U/yibkeZnO/c56lEJ1baYxlamafkc uWYwA624xJMmLRx8CBbCHYPwhaQ82I9JaR/iYhC1LETqFUw4ZB8P4kBTBMqoRiUI2l3FdlZZFu/0 l5a2RmOKTLSqVZSkpD1YZSliVpmEuixfKUXJQ26B1ZKYdFmpxGxkcAGi0aCgZ8tGqY1TE/CBx0LN 6w6RVXU9qB+T8pE+DGKxk320z3AWyJcRFJHmAjGmseFI2qDkkialKUwDCNPPyRS2ZVltakZt0pag 8lA2cQ+OO/2vVwo1clCVHKtvidJKhvIKmHvhK00nK+4GZTLYqCalVoaxqUJ5ZUjZDHjb+1wyW8UU UXbU/4aIM/8WhcyiLQCeWcoJzahsmULYEtEexPeXPdQoRPBc1R6gwJyseGVznwEeXhQDtXMGjWws KhtTXFEmJt1xjAx+VUDRe1GvPTRDVwsnQ2KTaUy7reLF6XRLqdQwQqXlNKED5cZzOqXhrPALqwTq rVNea5WzvNbEBV3oQBeW1Kz8Jg6ayrVpFmZkHugp1yBQW1d25kCAV1IP5nLFdE6gpWvmq63CCrJE 9LXISnZsUZnQU94KBfTN4ROsCB8mBPxW+fHsf0fqI9SJlpS6lD0QH8FC394S2XNqhUEW5mvWsIY/ Drtt4iD+7Gs6Dcm373LUpgkNyW+pyVa/WnK9ffxv7UPryFP/3tbKHZ2dbnlL1Jh6lpAnNjCf7ewL J5hoSlHK0edCbKA3JVpDXHbS95CiJ2GRY+HUSimgwSr+PSVJSb5czn42K8+sqAX3BIyObtR1OuhP z8UaMJT+Jz2vsIx37RVENBD6vMcwhA8xFShCV0QrTUWjLrTyzOwb6jNDI9KAfu+siEHLFO3gtG02 nWmhhBwwCfJUhA4E2L4EmQAumf8BGQEKmQG+Xcx5EiEkxnmt0gASzn/8nM452VEoyRCVX12hiplx RlttjFTMkGYMkZiFTNi0lVV8VcUsVlpES11AXSlYgzVEHTSxwtfokPagTWmcE8VA2Bk1jdIszdLo QV4EodP8/wVasB1leVGzbFm0MNbYiIaHhIjK8FHXTEZP/MjX6J3UMYSlvV9n1ca3qMdxDEdqLBDj YQTlVMQaOh7l4MQbSp7lcUQcTp4cFkwW0OHK7RILjUYJfIQ4zZZSlVRIHEnsgF4rkGDHXIXowd5U ScjKmMJSBEI0hEy0iB7IjEqjWIZZhJlY8MEeQAMrzCCzYIX5YVOxPZuMoMpYiYjzrIAerMklyFOA IcseeEIpdB0rRMPS9EharMoOjsZYpQy0LBi9gdG1fIqlSBML7MFcJAvw6NlFaRlG9YwVtAJugGFH aZbFiRRK3BZHBFkI1VzLlSM5nqM5ltRXzFhYyNhsrYxY4P/SAdJNIQlNVdXMWlUVCkJZFi1JXJWg 0EEZmJ1VgVxMVWkMpVyBC0BcWlhbXaBFM5bCMzhGhYRMzpgVyFgIDgqRD/IVHaSR0gBGj2iNFRih MpSCHH0CSRpJ6ZkRU2iN6VXYzVyfYnBLzPCEiZibXVVXhchMZMHL3LCLNnbU3rSGcIQWK5WS48Hh UjZlcj0l5MHEw9ghVFZeOJpFwziVRspO8uRhxMBLC0jBCYTFdjFXMDWFM8LJc+2ctSmI6A1RK8AJ DxKbZVTJZyDL8pCGYqwCILyg+WigiJQA9UhIlO1EJKZFCVgBYlwKYghAGwXGHKyRF6kbYHQdLuoB 0RxchBX/SV+wwGJumQnKDGlEGstcRhCBBtRoBZZxzx4wCFpQWqu0gvdBRsHBC2142FAuEnGAFHGM 1AcBIHD2H8SI4wGqHHGG4wEeJwIOZ3ISoCxRCZVAwVFhBQkyYkpJDPYxxWuMZSFJk1VRlYAAXbMh 3T4aXZyV4D5aYFX1IJXEBv4QDf5I4omURSBo0djtXpoBDZxMk7YphpEwho+syNEwDRo9TdaUlX8S FBXGxdYI0oE5SV4CUiDhEahgA9ttIb8xxHT8iBW8XUhdWm4iEOCtBnmkRFJWBFMm18Oo3FTWWp4M 1YreoeW1qAh5ZcE4SytoFdqcYf7dhI1iQTRoUX2Gzgm4/wCVmMCWISJzhQxbLRhSzNBXNQUwJYLM CVAGVY9dbFH8RAHBTYYpXMM/3UxiMI9qtsgVSAeHpGCkEE+QoAViYEgAQEFl4pNcipEnPgkUtEB4 Ed1eBM2YQhbaJVvrSJjZhJd7maalhFUruIIgnMIfXYEJLEUWfEuIKhI3XuoCyRo6bqo5Kmc6nqP+ RSAC9mmFoNRKheqQeYEWFZSYSYELvCqVaMVaqpU+3qNmUBXGfCDshBkJ1tVSGCQF3lwrsNirftRR AZKUlNVeXOM1qc10EAZFNcljoKAplMJHyttO2hcLQAdgLAeBRc8e0Z3XQKg/AlSQrKKj2QUNpau0 fmsfgf8r2szdIPCmlVSqiL6GUd4mlshm5eWJHVIlbtGo5flrVL4obtWLjOIhVA3XTs0hsE2Edj0X NWFR6IRlC7jAWH6GJiopzknbdJielAZTInScldbG/lTPlJzmTGKGWcSPWqgMrDwq8MDgHCxNMggY ZoqFFXAOycgbtm5bov5IS/KFNakM96jMV3ROMiZFztDgha1OWXgK9TwPZHxTW1zkC0JTluQriNrr 3QRl3KwLibncymmHcorq2ZItciKn/q3tcqLtkrXt28bt3K7MkSSPmEniaxjpq0pBrF6G6lEMVY2K mhEkYX6gWjERU0BnsX5oUCZoq0AaaFAtC4DikGDrRB3/1j8BCWD8xfqEz0cqIdbszH5J6Fwlj5z5 IBWe06dAnYxIi2HeWYM1yQZG2IgwBG+ClNfmDeDFRlMhR+JAzL8GrK3ZqIwKrB2qnEB8LEjIIyFO UsTs1I+KkPQ+L5p8hBAphSnoRY3sgSRGyxYJQhT4LblYbAsIQFiczcZuCurcHK1eoPQUVWrAjbu4 hhS0RhZgAR80S5+Kxe2VTThpWPQ4Cw1qzv6EiEVBgbo1xpxqDZLeU4A5BtrAUxdWI20q2B61rF1Q MNpsIdqBBrRWyk6YSE/cJZtN6Pbxnf3Kxu7iDabSq9uMFDhyKg1LzKb+GGOIJCbswQD2MKr+sNv6 8A8j/+DEsira6JERh86ruoCrNjGszpyKoNnhttVcnFnHMe4KY6oWy9aYPuFMZquYno0esaDZRQkd JI3S1KzT7LA/fYLSfIIpOI2AFXDZCRJfsFcxhlcdNWGz1HFPgiuoHK2c8ZUXYmoL303v2gaQWlvB ymhVNuXwlhZUdWv6wLFjuIfxplwmd8f0+uj15mHDooSF7gX4DhpMYtHaSaIgWGz52kbbxGoLmED6 ukrKoob8sksWvI1R3i9I5W/+9sECnRdfAMWGRvGhnqkGixNWfM0K9E8yigiGwGKA0WL5kMgRqk8r tA8deEod+6GynM2TcExcyOXvVYZSNLNCNV/DjYhEof/rnRGRdIUx3HVYhx1yuhCHZsHwRFibKQSx j/1zAjKnPw/02+aKKWCDtR50NHwCUjUn286tP8st3C5EkG7RkfTqFmkN0UGLmO3ta0gB/dJvSMEN SH80ScvNFqf0pebvCY2Ix5jgg6Lb1kDagZ1Zgg1JugVhGjF0WoCkruyT06ikIBGYXYHwOF9BWy6t GHHI7d6nH9tRXvlRStszutBvbFj1axANXFYHsHX1pw7CJitsUAmE5z4FHAMFQ1vvTlUv9F7vWqs1 JqNEJV6iF30vXfVFn64M+JqhlVbJt4S0bIS0Uc7zcOSyL98vFgDzL8MdSYwEC9VbFFAM/7TKNuXl 7PL/12STMdIqS4uAj0hmba7gEx2gZD9NsLQwplZE3ark8R6FF9TEK/cxBWfy1+XuTJxqxdco2LYR ElxYyS6zMFWri0oPh5IsRZh9atoSNNxGtJDxwWjriFkf9KaYwhAD8dxKNN32sNBR01ywmxR7U14s hRap6by6qrEON3qnd2H79gKZXTphaeRKq+ROlB+3GbsxBx2n0WAdoWJ9BlrUl2hYWF0phcrIpdmw oBcFH2FII6SpDapQnUoH95jQ8220RmbKpRZFiyQZrCQfbA2H9X3k4RUowzWwgh5AhR6QODYkwvWG uPW6eFuzdUjYqBfQxRVc4i5awRHVJ2cw2Ck/m++4/wJfX/Uu53Jh97KVKHZiM/ZH5O+iNbZIRPlI UN25CUD8LAvxPcbtXTYL6gzJJO3lhHnLLqalWMGcditM2pFltJcxX99eJGJBIWZpch9L6nb3aN+d f7NoVo8g3K/9FrmEi0lQ5i5xi5leILWkBLQNK7dDQ7Sjt+1TsEJC8ET6KEMrLLp1L/ejxy3EHgsW WRuBjKkQqR6DgRPRXSKAOMhHaIl6axZLM/ZwAHOso6GTM7mtN1qD452bRbFT5/pF8Yxf/ZXYHJaF oQWcM1ySLM+gFbeYyRXZvOCPdDev92SvS9Z5aUWEBzqYADZtQMtSwImJMBYrnJwnC1UolztYxzW6 v//1uveHK2TzmkDEmuiBW6f732AHu9d7jMN1YrtGFARCjdTnlyYihljB97LCV1UsH9TncyE8UHCY YSO2Ly95rde6lF88lGe8lDcP2TVcfx3GqQTi7nhw9QDw9LwbZxsJx6R8oi6GWgD47ymtDrKM/SCF V8AkjVRt5TLcL44px1jINTydnf+IRSloWlTLFfQBlgy2UWo7mLywu3Qg0aXFYjQFU2A3oz+0psst c7ctt36k1oije7w7LbiCK9BC11d3dXNJkXqccVsV26nMXOhQY8kGKo+37wAder/6xCv5k/+9xkN5 H+xvbTe4HY0rVPv6ZGnb5Tq1Xe2bdfWpdOkdfNL/+djwDhk5o2ajikNFGnhZwXA7PXAAHo9/7GP0 KSUyBbn3x4qCeI1Sb7p7chZ8QR7+KGmB8pMghyt8wbuvONoLRSJgw75PEihH+SSBRPG/6gmIpZEq BlMgiCQmBqrzBIORy43zuFwEiIMAZa0DM8Z/f+CDfyFaQdHDk/MA47kl3FmQSLyF/MkSKgVfDsN5 Yn2ZgEPqTM4o7Tid5l70KZmVBUCsYDGQIIsrrQRdWXHlSsKBCRleKVgiIgtBCFm0aiUxEMMoexoy NNjQoEUrV7BkSekCS0opLbNgkzmTZk2bN3Hm1LkTGxaWLV++xCKIaCCjgVicDLQn0EVTggYN+jJV /yrVqV+qYr2aletWr1bBYs0qNlErV2fP0sLmSmqitdhouZLJ9mrdr1rDjm3ps4ULv31bMBTE6tq1 aIKQsgjEirDMVqZa/kQ8mJWpVoWvYWs1qGXUvX32cu6cEgvnLFk4R02NurRn1a05Sywou2BtFgFs R9S9O7Ft375FXmEVrWPtg4JyJ4RCcDfzhwhBPh1Ie7Z0i60SN0RusHfF6SyiXGSo2aBD3dtnq9y7 fi9P9+/hu2fZwicW+kP3mGra0IoVxXsSMuoaQRDxQqoDS8MKNawSTI1BBA9c0MEJE6SlLWwGccUt s1rBUDNasHBFrQzd8sIVswZJBIsvGmxxENYgfP/wQSz6AEyKE6Ro4cYcmdJIM1aM4q4VVmYyhZXI sJACMVYoi4bIDjU6RbPUSquSyiutzBLLLVErKIArmvLytjFNGLOEKEaS6IqTDIKiIWyKkw232qAo gaA5H2Joj2v0m84UhoYTqSCEJFpuNt8SSpSoFRKd6LuHBNljJIhYcFMioi6VCE+ClBMEG0GgEO87 2gRxSaUsXDg11fhYbTW+vYKSQsmmiqKUoaPAvIgoA+/qyq5few12EJkGUesszaZyi5a40NKIllYS Gasu1YCt9lcvInMBMG257SuKQEyJJjMgi2vqMpmuYVKoliYz0sjLMCtMs1O1rHdL1/AdhA/VPHv/ 9Io9/DPut4FOYsjS3TCVDQpJB7YNQOGw+XO6SANxcjuRRLUtY+YiDck8MKmzKDhBG3osEEIFQ49k 76bDGCPt/mWIvZlbctXmm29iaT77JlusKaaSsiJRkDviTBBeD9Qq6ReZlrBBp2NkWivNPoQSm0Ro wfoLtthSZEUrvVBkEGif1cyV0mCEUcbUDByKRht11PEEbXc8iMjCAATJisqwyewyyHRuST/9KrsG ynQNx3BLexlfHEs1LdoDSMhZEADTgXCz0znIr3AzVJBKuiIAXTWnzdBKN6+YIYoagoKxPztPVCOG TNAzqYuYE8hj7zYaCM3pPC9v5AAxTQhliSQl/0rSiq4QYNSWWWBFu0GkoFcl0GDCWXucfZK1vlqL 6mi5XEFi6god1YMq2LzWx8t9rjSMK0Ozyoq2rhVTTPEsjRI5kf+orCUtsGCrJdva1l+6dYVwRaNv kYLIYhjYN3EJYl0tMcpFsCEuv1UmGuLSyItglC/YjPA1qtlXVKASFegNxjwJaQFikgO92QgmYtQJ U8N+MxlOMYSBoOsImJwUCDSFKlHRCJnIQPLD8qCHOXtgGOUENZBQlQw7s/sXUQImKIhEETkJKxXN 2LM9MbZqZyxpSFMWI4g/IWVNkwHZFXDUgixIgQ8GgtraXITHqLVoKq5AWpVYFBWxxa9YHepfiv/+ GMgEKUJpeaSQaOYmtxztSJI6igJj+ganpQjCCk/JjGYM1wr66EwlkfJRhxh4Gasx0DWNc+WVoFKa 4HBHeqJT02JoRyrmiGR8BhGaGt00kJPN0ne+OR0LJIe6gjAJOdphgbusML6IhDKY5/HYLkVXkEYJ RjbMs4gv1bQ7jQztUkQpU3jS1JDwkMZ67KzeGOH5nu75xQVEmYw9HcIdxPzwgOvxlV0E6D5rAasq qjmRhpoVraR9IQvTUo1C+7eZgd4vMgbsFrcQ6IKSZWZAZwSTj2TiQUG4wHt7uSBh4iUuD54yNfxK Ib9gGlOZqgkkVziMRKLAECtAoxTdBFU+m7P/myMaaYuHahhREAVUQHV0hU7ipnYgcjndGAep6Uwn y0IWkZPlh1CJKo5VowrGmcWTrDqhz1mxIDkApRFIyOmPG4Xogjhqay9XgBCMXGQlPabtRX1M0Yao dshEtI0zLGKkVMQWLa4VKxGMPOyD1IYlVN2IbnGb5FlzZJ8cYTIzHQFXQ+6WQdGWCq2SAS0oD9ch wkDJca/cl5ZUWCnkMAV0JQnEKlbRzTSFjrdq8tRXv7Sf7nAqi85ZTp0elRH0zImZmzPFp5qpmN11 zCIJKwEUyiQySAliIRgLFUFOskXkBEaXxptdR3cnGBqRxjTrvV5Z4WsTn9AzPMVTY1HGRyt+/7bA gOs5GvsAHECvZChrUUlEXRq6lfxhRUOJOCS1qPWrf7JoL/w9IEZz5JcMkzQwgpDXp6CAxpN9MpOG kxVJX2LGpcALXoYzjOE6aDjVvPSlLpVpTPMJnpBcqiPDAZ1InIjNnBpMMEOiTSA+FcWCCO2ICctq VbUJKkh1zhRPoc6OGyVcoAJnlyMBXcF2jE1OTYohGjlJh3T1RfaoJ4zxdTM25nMjLIDLKJJjoSmk SBRyVXJHJ/BJKR0Z6D0OGq+D8MKBDzzI+Z1NkHZ8pB71WJqXWJbSmkXrpbFwyQ5lBgtgYoXkiOQY eR0J02YkSuJWi1pQrhaWqYnlIF79atdABf/KaAqJc8i1W1YUl3PV5O1tmAIRgczJt9GViE2QF8Xf EQQjpVsixqLakIdxk5wJ0VxyczPmkbXpqb67grPN+ymElEol7W1nTN4cXylwuMP2rTKTaGrPjli0 n6GZ6L0l/FCD9s/B+TvQWPil6Gr5ygsE5As9+8JhDZOU4et2uAv40JgMZvopTSEx1QyTpBQ7XApL aYoGVfrilQ5nMzc2ucmzuodjSte33okImHWzh1YwbIZHDN0bZbJLT0G3eCXpsm3gPTEiMoQPCfkl YtbEzZorOTfhbOZUr6wmmOOT3GJdT7rja1k/+6zHLMTOcozCJOn1mW5zi2NL+tAQR0P6kTD/MpFB M5QhsswIsa3h2iEX27QI7b1t5I6bpQGPacEPxTB9uwZ9LnKyDjkmk6wYRODQCjLEJM5qqly1ZlpR mn1BZfOwhvUpaO15XcWGOdldNpoeRuzerr5zqgwYCxiFnMyxQHPjK5inQnY6bERk9yVbXW1Kh5Dr 6vya9iRJcsN5qW8m93SU+2bKbBmzhEhqyCUpFWjam332Yh2+GeavdibHQsb07pdPkR5/tYV+C9ON NFjglYQJ+pXGimVpVGkLif7nYLFF+H29+kySFC4AJ0ln1q17UixJWkLisIEVXoJJjGQmMKNvMo89 6KnTPO4i/AYzWiHGLsODTuHkQLBfjIrL/2ZoOsDsYHbjmJhu2UZiILABh1jgBY/P+P4FdqLI5m7l YYRHuI4sIhLG537jCoqOUgiil1rQB1tmI7RjL9isCduD+8gKs7ZO7KzAXC6CFVohKYRDV6RHrijp C+NIJfjAbQaN7fJqNRRBsObHscwQQvRKsjgsswZvlOyjPuhwlAZjJjajBQaBMTyFxEJtAalEPdCq CsGkYlKJalLLxcwi9FBoxqICIaIC9D6wdzDH9waiBUInu1igTL7L1k4wnHaNcnCjFPPkCnKKOV5w IARCmVwRG9ikTiDHnohojYCQ9moucgJEu0Qm6ciMCHvRUhqFiTCnZc4jTWqqVFprEKGQrP/WLf3q qzIC4ZfGb0iSYjGMhDEOjt7ST0fYwwvwLRyxQqHoTxzD4gvA0W2Agp4e7uHmqT4OMChgBQtMgcSu oSU0wjJo4sMMhzSwByjqA0yCDV5CTpVczINC0ORyrLpucKqCUKh8cAE7R4poTop2YyPQ7KsGZjyY 7lCIoquoS2MCxlIcpiOIsWWezuneyOaAo5ymTc2YkGZUohnjSQo7jkkQYhpxMlw0wiBk7gqvgQ/I Tm7Kzgvvw2jeEG30rkEaiWmU8imvBGq0hO6qJAv+bg7rsNTqI3CqBws+7FMIj+RmwoMM79WqBDRQ xSf4wBAR45Qoj/KiwTJUo+TGJoU2oxL/5xLWRIYoPgUpfifMgG9UqqmahCNOvsTnTLEkwI5Ingvp VIZNLmX3nIMgWtEYq2tospD2dOoWixCZwKQln+pLZomb9iAVpWgymW2cxo291kv7sI8m4Wm+/kKN KmNywAULsTBdaAkLL4O0MOo3DaiC3CbA/qk426c4wdELSmUM52mSNu454bE+AHI61+NcZIIV9gXz IghdFk8zlHGEZqYjnCjsPEheVkrP6DIh5zL5hrCmjHHllg4ie8NQJCKLOjIGq5CZDKebZu62oAFI sAE+cejlMuZfhMchd6mmSGb6ZvBjsGkhd2tiNOIxjsQJYSImawY2xSjOvGUnlRAbncQw/7Lwo3hS xviM0i4rs1KlSr6zDB/tRQWNsLKkD3bmDu3wRssoOn8CVVTlXDboDxMHXTAugzKvtWjUAtlS5iqP T7ADSFrhFBBiM6S0Lqe0Ss3j5rZJuzgRCDkHMvdmSNgEeKJPML7LBSuFdXwRu24lEJ5hFdJFMhWz MrfUUK4NCDlpB6ftX6DAP3ppaEAiqrrIYIaRIUTzNK1JUWYOMTgDLd2rUUGjDzRUjBDwL5SkMoak lmhTIzzoVniTxQ5OABPO+/ziQsewVArOHFHVbb5T4xqOK6NzXYTT6kKDxKIBpGq1JsQFXQak3G6s /WLG407GgyzVnswvPUNwMy6GeRzy1v+wCRUhUqsIxRVpQ0+0YxpXARv+8yRroz8AYRWgwVMuwhJz IxBIEjikrE8lL724lHnEK1dmaz9GYup8UDmgCiZlNUMjFWcgT66WpB4ZowrTCHEuQ0+GpFYTp1TO 7irlcGHpoyuXEWwe9mGtMitxdCstlpRYonqEYo7QjjXHRg8Tx28gUNUywxQc57WoxAIl72S8zjLE bzHirkpjdmZdoXNGUwiP79dqj/UIk3OYTVOQTzFKARvclElEZjmIkNjARNwykzM5yXZOhz6fTlL8 w+mEZj+yVDZqR6c4J12Vb5tCwiQH4kwMwiXQbmP98TPyVXsQUFYsTM9Ibo1uc0IzY/r/qkwjCm8z nvEZBbDhQpWk2MNoYqlUCFc53c9tlFNwU+O19gLFdLRx55E675VmBmE7rTMCaSLGDC8aWsrzTq4l iIZWmML8/PDdBuODIjEvU/cDk29iWOY7nGfIkg/qSgZUtPYgCqUjVwAb9CAQSsEkexIibWMVRxAl B6aFvNZN7POM/nQ3+FR4rYkPaIU2bA0woWBy22xtbSZH+2ILhyRddi3sgBJK/qQtLa9uAY97b3Tw Mhb7XilL1APyKtZGJ21S7bd6VnSO6MV9GfVcrIajqEZIydJvilTzXO2A4Zdo8qNgPPRlsZAtNgOC aTa2iA1Ni5EiDCIAjMKWQsdLfSvJ/zK4CvcAN8KMclaRNpant4bnCojX1pDqX1YBEKYRF40jOIRm Lz3mu3x2aHhYhcnJB62AYTjxS6bNIgDyJx53JbBAe29mHtmND56iYI0MTKJ4Qh1jnxjDYAkYAAuQ 4d7Ri8G4HV0VVtvWfse4jCUXe+9VPfhg8QBYSG3ixSBwAtWTX0A3iZbiJADEMvxQ7O5rEscmkKsU C2pDI3NxD6wBGmb4qiAyTJOiFKzhiSKCJMcjQndLWSeZhZXoFK9gFZ7hGaDhGsgVUaTJIgXDs7ZI UCDTY7DWQDHZddfkmKQE6VggjWWVibfXYukDR9Loe63Ie3lSEbHjKW5106akfm20Rv/rUJlttLSW +WKhOXAw9s+CgmPZab1Go9xa00qGRWQZrzuF9HyJ1EpQtvMEAfQm0SyzwGtHooGj1J4kOJ4DmQ9q mEzENACgoB5XoRQgk/Uu0+f0wBoYY2ynw9l2z54AxJ/DKYVZWJUvsQoBoU2jVLd0Q3pM0tdi54oY 1FZs65ShaqqaT10DRCT4IEelGZpx2VXYY+M6rFhD6Yf0LFyDdAHFDgs1SA9Fw3GROGOls6d3OnJ7 moypM1bVuP2M2gk/lidIDG9LbAJh4xHV06S4VhjDlUkuyC4HAS8nNCpYENtswwoKAxoAwT+iCZPd xFOkhyACARoUGRS3lYVJ0lw6x3P/qq/IsIMjtVWr1EQ3LbJqpW8pSjgp0smid8xgpMmjdUXpalhB 1WQ9fhpJpjOlW0WJkYQlpnB8RZkjenm1KG8BozTVMoOVqGd+SdtVTzoeH/cAKVt/V+LcWFOb3XdR 1+tExjKTutOYVStkMY+9UDYqTshzKbEuXwM0prFridk8fimC426xzuaI6vROBoIVQnmfW9Fn1UQY l+wZbkiBlo8gsKG6gyaoCFPPTgIbmpc6smtaC6JMfe6NHBOGKiKakAJAOGkymIyh9Um58GzcNpEy ZSaZn9lGJZtVaIal3VVTxSWtqThT6RaAJxTBv3IzAFIei7rCLdzq2Cw0kromLm5k/wtjODjKME5B w+v4xvgANGSm0wpm5gDGTS5obMpCkMemFei5BAdmb64BGlZheQ8GzBzidOqsm3Tju/Zg9/h0TAxC dhHGOeB0epVnIh/yQNf1qX7QGPX6jBJFb4KJO8qMUH6ZNpqTAE9amgf8Va7niOvwsn15AZvpanES sysPtQ7Stl0hltKSK9MSf5Mkz/m8mtH2QrX5zAO9KgmdXlqKtoU0tEts0QmYSBhoXB5Pm/VF9CIR q6OCLT6QLUroLFtCePaGVhCCuQMZgqWVJKZVlaEBlMf6MHvtliJGUqCAhJkIN9ykuxBD3H7M2Xa4 Q+IkyagtXFaLFX4tTUaneJhiiv92OGeHxrMwJmY2wnhEgo3SyTuXGDso4iopFivLHD7EKlYCA1xO N2RNoT/0uClqekjwFl4WXYNeLLQ/aD2I+sLBKMNllVcjUS5spoPoVlcBpzN+u47p0liHu9NCRewu Fau3WiPqnE601TqsoBRWYZF7VqgGI+qK17sbwsqQLjgOxlI0opZisChIZW550+VUpnNeMhkVZloT BgUnuTwus3YdEjLNrGz/VsNuXmf8YtvlyXq6kmL9rDDXfD8BRrMJx+uGI9XiXGQf3bYxpIDvnEdN 5ZpZ2+dVRVUAnZsj2GrgeEhvm9FXLS4TJ5Um0AViGzZSCPSk9CJkXJ6V+wNTloX/NYJWLn257Z6J GKUjtjZTUDhMWR2c2sQ965N10KNjJvLbYhD2ytr6uI3bMHo8GuVQlgJAruEg9mMzOTpTosNrZR1k RjSd8smvAcV4buoHZ5GZsz31ed49ZJWlcwputZhPqKs/rtr8svHBNbUD2z2TbsJ/PEPe18PeZxyk 4OONc6IwPj43Q5xJArfEZUpmpxSmhnDc8nJCoTRKToE6wrULRsUUaqu9rfxgcqrIJUabXi9mWg7J 7tO6qahzUtHXo2q4oOBgTN/wt4hNCkZozEfl99Rm6/X4AOKKoCtXWBQsKEhQoCvYog1sNdAgFhcu pFC8eLEFRooWsXn8CDKkyJEk/0uaPIkNi8o+WLJgYYlFShYXWFrUlHLFVCBWpq61uhYNWyuIpgga hBJoj06drAQ1hdiKVTSprK5VbSXUp9CG2K513Yp1UKtBrsSKFXTK7NiyrrC63eo17le5dMFy9Rq0 7s+frKJqDTqUT02Vg7AUHiQI8anEZgepJWu27NrIkCVDRnz4MdvKZCMKYtFFUCtEXQIczAnlygoW JgqyeG0w9sHYoqNZgQKbRQndLFaz+GzwIbamEVdbmY1ckMdWRgliE7jnoVTRRl/jfg38N0GBBAMN 3A57j3aCCVvtAY/wyvkrARIOdC/bIGrlzyXavC8Fv/6bNlH6/w+gfyoNSCCBUv/EVJMLAnnX11Bx RUWcUVAcd0Ug3nnnlCCmmMITK315+KGDrUSz1zUmRmPiXF955BWLH7W4YowwzmiiTynOONKJU3no oI5NSXEggY4NSWSRRo7l2FhKijUUk0siWaSSrUjW5FDZGYRIlq8RBIVCrsF2RWryhYkeQVbIl1ua Z6a5gnrY7ORdc2MaFMV20NnJ0B7XVaihT+XZGdyWEhql0HvbefnllgOl5h6g8i0k2nsQbbfnQgYB eVF+HGWqUUWdBghqqAG2JFNLprqEKk00tZCfIEqJdhVXVrbC4R6BHGeQFQtZuBScTf1qClRUTWVV sVfZ6JZWWAFml1dYOStrVlX/TavsV8+quFc0wZpCbLJ9XTHRRC4dlhhjiyUp2mNLWrZZu5y5C++7 YrlGECLUYScQT6kFAFtr8SEnn3kH7fYav+PJuSY2uF1hRXYAI5QQCwv/9hzDBh8USFFWuvdemwkB ihx3rWD4GXjYyfdexLiJd1ogLEQ1kFCGnjbYfTXfzKrNou7M80gF/vzzgRTZFJ1TDd441VAQJrQe wwSdZ2HUFyZkilMccggijw0qPWLXPpGIrI0odp101kfXhaOJXUP4YTQootiXYBUFSZiRdt/9pLpq DXUK13773Zbfp7gS0Ws7RXXahAslmiaZXG7XcEPVNY7naQVhk+aWmQdsVXPK/3180HUSS0xehXEG R9533EURW3AZQ/wld7nVSV7Vjh6VE3P0sTyRRRZtCnxFmbrQc/E9m1qYSyyNe6rQNy/41F5c9dUT tcE6ZWuFVuyhq64VVqhUxr76GilPtPrVNlVWRaXtr+PzpBVeX+UV7bQQmX+s/SrZXCpL5EKUpCGN JS3sosxk4oVAeSXwSlbgUW5MUx3fxO5f/5KTegQhwd9QLmYCMZiXCsYCfnmwOcfBxkBMUTQUfqd1 Y7Igyl7Gnff8ZnHpYVxuvvSepESqdCGb1G9WhbMg2qw/xisiqAjkkp8l8WfCc0ELolO1vpAIRUJh m4iU9iHiXCgpu7KQraQGRv+F8ApDOrGQGLcYNQ3x6FoukotH3uaTpWnNQR8yhUow9bPM3A1vAuzj UMoiCD4kaUpKCxwh/1jIRP5xXo0TRBcax4pENcdyYEpNTlyzJ4dsjmC52YMJF2cQS4FwlJr7TMVY oKsOkahok6sQ6hxlp49xLDuiyxzTWgixh0xqUnUaHUEs0rsmqip4miKeEY8pIFS95FSmWh6pkjhE LORkJ4LoFlhGZj5uUYsvxjpfhlghPhVmLDrjLFR0ogMdVz2lmm+RH7SYlSy+0Aqc2SRWXz52M1Ux 7zCGcQxjIJKWvOXNXafYX37qxpYpkUWh7WIoQzvjGhOcrF+COgjo5vQwil3/4wqs600kQ5ibia3J YJjbjmkMIkKQmsZCYhLI5woWpj3s4X5DuYLufnOmK0RyZq4MXemYg7rNbakoBnmKBblj0+fUxjWC sAkQoxlNICJzqiYBmlWteiBM4cSMRusRi5qSMautkWtgK1G2hrK1v3mtRDWaS43eCsexxTFrHBJr FqsmCGn+jiZ0G5D/9gjYtAQwb1iIgmGlEAXEIrZuiGzsIR/LtSsFVahYCAsfKsnRGx6qcCxYhTVc 1kjQhek19cGOKHOzG52eR1AswJxQT1O0gdxKUF2SZfZWiFTYCTVN5XHPpLhUUYZcg3fAVJXvhHfc 406EqswVCfKey7zoGuZU/6rijzQV8pRYOQsiKaPnN7Vpz6lkJZ7jLe9bpIfesPHFnt6k506u96vo RMG6Tp0JYaZ7GEH+85+DCGh/ITOZAzpmvlCt2UGj4Ji2KHihrhjcQ9nCMImCySASZg1rnFNTzWWU PL5E5SquUYrZCIq7SLUCNlbDLw1VJ6UleBptSJu5gqxJNjGUj55ClrIcC2TC1qEce2L5Mg9BwUrj qWA+hRhEmjR3yR5Z4hKt+mSrIlc9ZNxaUJbTlzgmZGoZCtZcozKUpK3trF/bS5iVVja2dYhDGAJn 1fDKBz4kViOdCtdV9QjYIgm2MXsrTH7yY9jCAukmB0rsnA+UmCkZctGFHP+EDXe7JcSMVsOP4xIf hPIlKzzDGqvgzpnKo7SSpca1YErUbjwGytaVdEz32lxEdKoepLJws+hE02415DKXFo5hXRrZFYIC KUGcyQq9E9qghVfsZNMEC0xe8nSlm7xnS9uZWcjqYIAIPdFos1hBYcVHRqTihXDo2/d77/3qCuZg SUXd56PePL8ZznMaSs78ceJBbVbd5+ZXj+ZCy1kEShm27C8K0ZwvgW92cJshmMFTcnBCXSFNFtbS YCBlYQg3HLBXs6AUnl1PyQQBIxNe1qWuRc/oQGrTHWtu1RwliA872jr1QFDY7unSjgd2QaOAU7IW nlNvZxafRnlEEKbZX7j/nHrtpCNdyc1m7lWfDvUBYcoFdWoz1jxUrJ90pUVWCZZI4viT7XoI11G8 Woc45h2ZVo7ATmw7nTFS3KfjOc95zlthCG7YltdpvioxtKD5vlhD/7mfiFQw1wYBkxa0ANKaG+V2 aNdyCeUGEM8oxUJwlVSQTGoFo96N7b4kOj7tyTkEoR3tTks5W7P6O65KXXXEtNvQsxKtLs11Sz8m keo6z3m6R9CAmu50aENX2tEuPnWPfmDsUvMasJKit7ECErS9KCRY8VCcpElv/UjhBC44wfa7D37v cz9n9w6XPoPkP/ziNzH6PRef12XAy2CB3gkfjMELy4cC1z+a/TT8wyFT/1A3YQKLxzgiBhsTMxuB MBQs0FEBYEZjYgIJERJ9AUKu5SriwToFkYEtxVos1xw2Z4DAxRziUTK4A4JO4WmxITqyB1TaIWyv hBW6dDuCUF1IZ4PmJy7L1gfAR1VOBjRRphJAaCpAg1wtQB4MMnZVUU1SAX0AkiLT1woBSBEnQIXd p3hu13aewhGskm++h0QDMnd0t0dQAiVyFnh1Ulh5RzvSNCCJVWgHwgdA8obWVmiE4XCKpFBDsj+L F2MP1Hi5gmu5gXqCQh9VJFmkxoA8lnKxwxB0gie4wzir5VJ8sjirpWGN8huclTm1JhDcJR85tR1W IlwloxLLFi7OU4pS9/8zPDhV6md86cc8sOiKzQNNyGcTOkUdUKEhbAQXMUI/8GQj3uYsZTEIB6IR 3pczSAZE+VZt+zSLmGEYidFPmNFf/gYVmnEZ9RcF9Gd/hYV/2wguNnFw49iNNmGMMdEHfLBICxYv pyBI99ECAgAbKXUxFPQlLVhxECMwCUFxAYA5wJFSscEHEdMb21EfGCdZDkMbrsdDOwYcKicxnAQb VlACdVI1UBEVDeNConGQfLCMSnd0MTETymMYrIhMSvSDKRl1BWJsNIEhSsET3hFyAYIjbvMT9NMk wQRMdBh1JDkIgiSGQUkkSNJ3iyUFgqGKfceG4HIFgoGUPHlHqthXKhH/Z3E2SIanNE5yeIVRWIrH h7s1Y4rCc3giCJa0KLBRMSajJqXmWjA3OzLmMEz1gZYDawJRFJq4W7JzFN8xU7qUMvQyWnmVlAhi bVfFEiZ5TMNnfMLHmMTXTM5zH8J2K0thBRtSI1Uhfe7Ui3XRbSTyEXm1dCLJV8pkfPxkms/IfpjB X4MFYJ3RB+RocHImGCwhm7G5jdNVmzERh7uZVfR2lL/pP4IknAT0YArEGVypeAMojyimUiGVORAE MCyggGuiHCGDHAF5OXQycvZYHR00iTUHHmfiMoWiEEW2grABH7pWgrnEELtUnuBiX9Uln/a1TPd1 GIhpRD4YhAOin0Po/5/9KYSEKU1UBjXlQRxV8XXeFn1AUSNX9hEOig2nUJhQBobTaKF2wxhCGSWO MXATSpV5VZUDwgfgMn/zN5BIiZQl6pRPxwfpmBA/qZp9w2iOJSV+85N7yHh5eRDHYUmKwhDfAxsZ CDKtVTm4tCfouSaxlDpNY1EC8Wl42TivUVlj1ygyhjIC4RG5NpgGgpL2OQj4WUTPKKanSaZjKm3x ORgswD0WEl+uMhQxci1xahft5FbSGG3pV6Z56op2mpr+hC7+tSTI6Y23KZsqOn9NqaID2ZQCYRiC VKK0iV+C9Ju8GYfBCaPC+ZPEyY4OlUCSYRgHMoDPOY+5MTO4ckNEiv97Jnca2ACd9khjrPUbHJge C6E7KbceAZBTvtGH+DIQ0WFCnQgo4kkoBMJXdjaSzfSMYGo8+/l0AMqfz8qs/nlVQ2NYCQFWF7JG /hF2WzcjYMY1e6Zv+MWhGkquHDqovrei6apfVfkx5yFT5zGi8bqo6VqiP+OUmIqpfSqjjzWjatVY 5qp4OXpBKyRUJbVZj9haBwN5dQJ7luhKGvk0oyUmjKKeJfNo6AkRrEZJoiiKBLuSX/hXRKKsxaN+ 6Weys3iyhYGn05WyyIog+JETKHhC5JRd8aMi0vdGzBdq5iGBeWimeeqoqokuAcSaXBkFeXUY4LKN t6mysygYjhYIelD/CrbSPbdyK2sKPu/xEke5tU/bqO6YqcPJB+5InELRFrRASP5nnJtBlDURBaEa evHxhys3YXjSJRWDDZIoG0MmbJJXloZzKLGGW6NjKL/RgqCHngsDZjT0L9TBkYGAG4IgE0FSbfvp jKb5TyPbM0sEE/8JrZ4brc66ElJmEeTZReRJnh2yrV/3Ijt7TwSxNYaoR9MIlNRYrnqYhi0QaNso nCFKlSZ6r/caSAM5vFUZZ71qK19keTokoiaajphRu0DpforRCmjrf/yKh1zTYIukhwT3lQJrkKUV pAzxq5nIMU/jFq30kL5kJq1Xl13CuGoJaQMrgZGkl6OlU6zAOx8b/4Yiq7k787MBrKcDrH6ocov0 hE7mpBA6scDURCt/gS066273REdx5B0/G7TjWi5Dsl9KYhj75402EalN2wdcS8Bk6qiGMkZuJgUs YaliS7ZjixYyTLaDIA3nBRbX+3/sWBmDw6H5VxMtIGEFcR27AYJYekofQTDsgR0aIhpKU770Ygor 0DCqQ8Sy8THc5R0W52oRYyfj6RQvs4CeGDLFiCr9s0xkWi5P8r8AzEzKBMfPqkyW67lz/MZQl1dP MzUkQzJotyFv+kZYhFZPrFYZgnj9e7u4q7uG5Xd8Z6+9G2fA26KTbLxxlo5WWZXpeMkDGQiWN3aG iskcjKGOsWd/5P8KOpy2qXy9/pqVyPm9PkYeHwGRMSZBSVWC+IIvDemwm+UZUAomH6Vr+Es63xGD NtWCgqmS4mok/tXGoiLAz3zCZqo8NdgqF8LA4tPAC0yz3kbBDcIK2xMpGAkRQQFxJ5yvMcoYxDhw +iebk9q1aeyoXrtv+GqamBqpScEUptC0+RXDYDu2/0y2aJEuhjenbWG2btEWN6xgPtypneHKDChh /DIbt3xyjROQzOEaLaZxp/EZOwWCuLQChXKAYEIwT0piPqSBamqQENFiijmm6UJAkdHMocKVjnlf zHPT05XTNW18O12hRAgkZ4S6fOweGGIl5LSLG3I9f9wgLGKn45r/yD9pWLq7yH/mob+7olj9OHtH ECOK1ZGMyZZsyWWUMR5iCvf6ky+aobXbb6T8XwHlCmZ7yoqmYHONyo7Vr4FzCosxIC0QAH+do7z1 HW55Q6yAHZJIL6zHeufBc5tTJi7VMDU1FKZgSUSsHoryuS7cB2FIhkwy00cUzaENzdJsbdUVQ9Zs zeS0FD0RJ5DiUrqIkR8xpkHLp7aboSAsjvZXqLu9TCU8ohh3EEZ4Hy1nhLE5r3yAQmxaCqwwwjA8 w4sR0NFNw088p9V9Xjd80A22qWzB0Aw9CH/911cQqs45qigjSyMNBe3hGszh2g+zHSokJxRFqsd8 v8exQ8Wsl0gr/8DY2C6fHSCNeafD50wBLl0D7tIkCXV0syCFwmXYhTi7EmunS91CgZRRDbB4N2e7 y6KgfKhWmoGJeBBcLeIcZVjvWmkytROsUAp59byYaiQZai7/VaP95XB2beOojON4aEhDAd5/DbCv /FqqR6qucRzg/JeQg0qYF7iPRiHBATOyZtmicR5DJxF0jMiD1Tda6d8AMtqi7eVmmn5BWIPXtSDX 3BTRYCHfI1sxlBPC2ArhisIwusFnMQgERnBBdH/uvJtdO1+u+jDCjTNXEJm1wjK5wqZKOM/+LN39 HCkxrSQCbdA4LOkFjdCVrtDF2eNsmxgEJsSvEZZLvDmtwFoLQ/8hig0otXSlZSljuwFB0aE7aFFj yDFTOTXA+pVgAfcuW/4fyZMFi9nrB/5svx5dwu6Yw+7rNd25TzaVWHBGY5czEYviF9QVyA7VQlmv RSl4V33tgqGWRDyxKqiCdbLIacjVTClGEW46HuI2rFCVMFqNpNzWfvpfNC7jQ7LXpyzXdZ3viqbQ N67K/BoAPK7j3euVga1yvSprTd6uOsFDmVPZlHJzFqVjCA9cv+GoXmq7fgQ4UKzrKNHlH//lon3G SWTaLzrmNuOkT+wCl+uK1Y4FfM2A4jiiA8GNSxuvt+nbvQTc9tgCchbc5VhYCOErG7IHWKc/lwrd NKz0jL4YAfb/b5A+6VFv3XOK3YQUAA7HYGTh3erstiwgxLhxJqJjsTQnS5eNYy8TJ0WRa1E68zbl KmpKYy4nMjc3pv4Ef/DCUB2PEiEP8n1PwAEadaioEsg8CL2uobiX5LdxG05DIbZixafu57hDQZJc enpn+S5lNmYDEfFKvAmxZ6McJX3DNwGVFk9c16dP16fs76r/7woWAKu88YXXJA+tWY2zOEwziSYT S6YuvwZRU1VaHZiXqvMMWFLCaASt91XF98vv96NNx52b7PoE564YtIIkEAtjCmASBXvAsDfm9iMY +Tvv3vumovdn/oPP7P/8HgMZHbpCs40u0EmfqfE/tuoiGn2T/y5VP/VSL/WvX+nZDRCtXAkc5Krg QYMJsQyKgoVFCxZXWFiJyMKixCtXAkFptUfQFVYZrWCMmBFkoCuCWqFMWfHKyCutPgoStCfjHigY R7KgOWjhz0EqB7U6SDQhQoFJXWFj2tTpU6hRpU6lWhWbzyxAs2DVytXnoK1Ysn79OXZhWLNgvQIV 27XtV7Q/+/zEQtdu1rp43w76ytdvUL9Y+KTcE5Hllbp1+QgWTDOlIItQSu6BKRFKzigmEWcsiTEz C8GD+IwWXXr06MRYGtaVMlpQoECCTMEONPu1KVOtdAvie8rvKd58eZ8CPuhUq+O6e+v2rdvVUoHQ n2OLTn169f/o0qIHiD7wufekznWHJz+QqE/VUR5aZN/+IpTYH03VTMmZJM2PMmfar/jRZqv6PpLI iuAA+2uooZQTb0HyrHLwQQgdZIssCie0sEIML9QwQw439LDDr/igUCVBTuEDvysW46M1LOYii7hW IjLlGvZeCsSKGym7AgqbZmoRC8hIEnIhEYk0LUSfVIxisT6WxBE2HK/AjZVAqNTtGt34AA44okz0 UsuChDIIuTEFMQ9M7ahTs5U122TzTTcDCMDNNdNUSqlEvCuIID0NQq+FKEyAiCQoSrioJAEdI8wl RDXSLUWPGM1IEChQtKiVCYcSE6E9E+KTzwhDFTVCtSYMC8T/s1BV1dRVW81ULb5EPHDWBFvBEkv9 GDuNjz5OSy4jVlpZJZDMUrzCJmSPVTaQPZi1SciccrpCNNJOFPE0anc90SPHmhVwD1NKYWVcclth JRrfZPWNuOJ4C863oGBMrsvd+NINm++k+W5ffb/LLrsAnuv3ue4KBu/gO80rDzm+6moBIosoco+9 1zKa7THN2PPosZkE5OwimyKi1d5ax1MYYfBGVXnlqD502VWYX5Y5Zgr3pBNO4oosDYvjrlEpWI9+ JGuxj1C6EaWabMtJ0iiO1PLaI4U+MaXaqoytRY2qLCUaVqAZ15RoeHtatC3D/JLsobQUikwEDTLx XjjjvjlO/7nrvnkg69707pQ+kfJzoRYkUk8yiQ69SCMW9LMCwEBYKAwjxDHNryVKIS8tOKKM4hTv pLQbyHOBWBad5b4wbLjUwGqGq/RUFzr91NdZR/10oGJ33XTZY0291mioi6Z3W4Fv6hrqTBytD5Xq RU0xwXhtvlfXmqWNNs1gEgRbWS/XnjQqAxEXN0Hmcu3EKVsBH15rRetpuPN0F7FE4ZKbt9bh8L3u funypy5g6/THzl/8eYdg4GHQyUzWMCw87GHskVh7KqMoVniscNHC2GMKw4KRZW5hKLvTvUb3wVDN TIQ0G2EJYSaIudkNTsQzzkJicj22zMVFFypSTWrCLFPsgf9KVHKaaUzUQz6sZDbjiobzOPQ0mZwN atfikqaiti6eIcg5JTqFNAbRFBWmEBtzymIXU2invfWJT3wrCN944zD1CMk9L3mJTGxiCpMIYic7 mhRNApCSeEnRb51KSt5o0bnQgVCQDlLdq1qXutrhzpCFnN3qbrfIRB7ydMKB1ZVs9SYsqYl4bCKe UzrJFGn4pnkq0lYpd9WrbF0PP/gBovbcBRgt0aYUtQnfKK8FPV9p6nqwVB/UjHOcWJ0mNDIREXLG cxyn7Ct/AvTcAF3BHQC6opn76h81u7OvhGWTgAtr32KusMCIsUAy7ZEItzhWHzWyIBDw8osGxcNB eLJpkPP/nIoJ7UlCfJYQblr0Yt2kgRqfzFCgQFniDwlKoSWSjYlP+6FscAQuj+whSUYqEROF8sOx JdSiXTIiY86DBQ0+RRr8vBl3SNrPk+ZNpdos41EwlUCHDIpRh5pUHTMytUv55SieSlkfWwFGvcmT nkN9Su6M+sjdHTWpSJ2kUpvKVKfKbjxwg9s1NomNqzJFqJ/cKhapNUpbkqZ52coWLsmavuwVKK3G ueH0YnMaVRpnNMAUETtLE8xrVStB11ve7cw0Uqh8p3+D/d9SAuY//BE2sYVV7DILVkCTRfajCYRY RSZ2uDoGSSjG0aABoylA0PaRqKPFxj1Nm0/UZsqSKUWp/9yu2rCBIslIGkWSRjHqpYoqlKOzySGz ZqKzEpnJfRzFLUZlyxe3/WgxyGTKJ7XKWjVxEbqtnS51gOoKvn2KpwdhSALTWBGKFOpQlIqI5nZ6 p5PaCbCkHSqtCvRev8CXkrOSr4HsW1/8xndk7t3dVKMxHupkkk296x1UnBsq7aBGRbbsZQ/FdqQH v7JAlHylcOYzG9rsQcOymQ9z3mff+TpNWwky3lCoIlgUJxbF/EvxUlpsPxcL7MUtHtg0sRlPhBUw MJStbAPbk7ypalMpAxQy3tg71NMmObUxk8k+qftkLRJPlOIjqHEXqlAsZ/S2arst/LpUUd6CyxSs 8AjYcv/L5eBueWcyZIxvjBwVKMtNunGuLp2DmjfQ8TRP3BXMAtVDEYwI97ygm+6bjzzP0mUve7db tF8U7eikPlp3k75rpdUKaUojdSsJwtUlsapJNT23uU0p8FMODCGikHJXZ+3JWh9M6Q+/612/+cuJ 8BNB3uhHJen6JRWLQ8lShmYqN0Zssa3DxcUm29iMZbay+9fManaQm+VB4AIzAtl3OjaABlvKoed5 0AnpDNwIXXK4WyXuCq02bjKBrvDg9NwVhlretBhe8RhzXJ1pmaELtbKXNLUlfqdtZ1wi0ZZUIqsk /nvfIfLgU+xc0odHvM4p/JxSOnXxhJwRuZ/Sjp0m7m3/RO9X5CMneclNPvI+tNO/ttokpqSwkK5W RSYZedB/WYGb3Ai1Ka7gqylHDLVLxxrE66LVr4miyg//klrwQ5u9fPPgusp8OtLUH9VhPDAYy0lf V7d6dWa8da9vPV9dlzG+BusKWizT6mMHYJGljeMhM5PbofUXyAepZLyX+ytmUmErinSv4Dr5Zvjh iWOw6KZo1EYPU9pDKXSoc+pkaIa1LS6/K/ql5qyNrbhNokV/mLbFIKe4a0Mi5JkCnYnbbc6pZ73E +8k5hBHap62Xt90/CGL90pe/uuf9gSaMad/vvi9T7XSA/a6lN50HwFZhE4pSYvqn4Ka5jqd+qb2q 6udh/+9Ed23XfXNP9F9zH0H2JU2qEf50diVnKtw+u7OZzb9mL9vZgo1/Y+1Pf/d/tsjOFKD94w9t 2/ugfNu749K4ATQSBNwZwDC3BDxABdQZ2vENAeMnmRANjjIOUOMkeXuT/ZgJnbuGMZsSpoiGPfgv EvwvbLC+yJOC2GrAyusyf4PBy2OowEub4iASjuoV0eOZU5C3WTg814M42gtCIhxCI4S+AByVk1tC JmxCkbOk/7oVuXEK5UiSn/I7LFivqsCSmcAGmvCgVvgEPfgEUxjBrWEK6aOK49MVn0s6/VortoqX +dEvd0kO9yENYEIlGBm2/gu7GHMxsZu6QIyxOZmxqf87RED8QxhrP0X8ukYcRHyBxLVDxGjTv2jC uu9IO0T8I4EpmCQcnQY8rnFzQAccRXw7xYXQuFQUvNbyO/RJIiBLngxUk1L7QgCRjzcxhcUzBWjQ Ia0qBQ00sKYYhD5gwXsTmtlSKIPCMhIJisw5jjTjtyqCur1zGz7oNqgowi4yKW08Qm/sRur6RNFx QnIsx/3Ktamijqq6qqxqk6bQji65iigCKQfZjQ5UiRQshVL4BGDUqjJ8EKLQFTY8K17CK/HzoSpk q11iH+SgCW35qfXLP0WsP/x7pvrzOon0PxXLyIq8v4xkrI68SGcTx5XRuNMxSQJEKpRMRdlZyQVM HZf/NElWrK52vAZXiKLFwIYeFLUNBMKOuUV4oxOeFCrIu54l+ZHJS8Yrq7KDQ5sxkSsf2jWe64va A8JvnJvVA8er1EqurEqSDBVzDMsm3LSVQ0G7KbVgdBBggr4KREKter6OESSZeDmBrBa9ckNswZxJ G4849CV5abjA6j8Xw5e0MzsXK8zBRLvENEzGDADENEyxM8zHPMzFVEzGrEzCrMypk0zMrMzJjETP DM3MBM3LlDGwo0S3/MqqELoIAwyNkzBXeknYZMlXYs2oscAE2co2ObB2VI5646qmEISQUAk4aji2 rMebxILWYBEXGUCLesC3OY730ZPOu62ddDjd7Cdu/8xO7uzKblRNJRRL8RQ+ThuPWwGe39nAeyk1 FRw1VEsXLXmQ/KCOmBgkQVDO1FAM9zmSWtu+YjqPEgmPv1AJLXQ41LyOwsRI/0nQqWNQQjy704QO B11Er5tQy6xQ+ZvI+bPQCKWOCW0FC93IDB0s8ATL0ikQRgM+FM29RAM+SRuRmfQiTdmnq4o3ojw8 ePuKHiSKKGCunnQKEskPFBpKHP0093S4QXi5hmiNJdESIjmFJ1UbyjsI3PIOWQys7iSprMxS7+TS jytRUhlPMVW58TDB5NuNeyGelkPTK5wKeKxHbNAO3UlN7AQQqskNEHK5l9vTugiKXRI6g0S4hPTR wP/Mjs68TEQ9VEXFFxYbzUV91ESNVEX9TEitVEmVVErN1MEEUwiRNdfsDdh0ovkKP8wJ1QqksL1T NzopuF1jRZmAxytqUxZqshtlRyPFBi25Tp40kyMF0nsUpPtsAdUYVimAJSuLF+dkG+SAMy/Vzi3t UmhtVujiVAgZU/GkifjQjSjEpDWtwCtdk+WDEaIEMDp9ECtqDbfUtS7MUz6IAinY03fdvgE9SF7J Hl19CmcirA4FyX3lyOk4LP/b136tuo8cWI2EDoH1nw4NyY/MSGolJAMhVRYt1e/LrxBLQBe6R3br u11zxnebxY9FU5UQHiLlyU1yhXwbjR/FInYz2SL/pcJ6c89W4FNhXY110bJo9AlC/bRojbPt7Flp BVqUeliItVZybAsgiSCW4JYr6R1b5UJaBUyWvdepyk1UA6gq0iqptTufgNd3LdbNo7R2koo+QrFL tVS0NcxGTVu2PVu3bVu4fVu53VSipQq9tJe7FdX2OdW9zVtv5ZCXE9YXgqOaskUK/Fac2dh6Ewho rLcfPNLku9dYidlbJSquGgRhzdwEOhGeu1kLZEvOCVotFV2hJV0tqtuqMNqTQ9HEeJgTCJzkkSCa wA15irniYRjTEz3dKFDrYgr1q0fRaAyyYQoTC8CX+lrlbA12ao5C7UMVMzuvg97NRFhIjV7qXdvI /0S9xQTR7UXUn9re6bWuysxe8S3N7t1e8mUT8F1fxlTf9g1f1J0KvTWO+c08kskcL6NfvqXf4kA3 sXi5E3CB122BL+yWSgEXWZxCNZnRkCUKL0wTrtIgjyvZyLsiUMIUe+EDUivSG4VcyiXbp7iemoWp Yv3WoCxdn0Vh01Vhu4lf+VXdk0Pa1HABF1CgE2EOReEYE3Y45iherWUOqa3d5QPIxQASHxZHokDe l7vG5v1e8o1bKEbUQpxbKo5iK65iLG5bF44K/W0n+vUTBHEzL26OMeau8aOdCsmKLHCBBBLgY2my HP7JMqxRN4GbNNHaxu0qaPSNWxWqx/00d4m8Nv+zXU8ireRsGsi7MxaGrmddYUcG2i3mYhgmOdaV 4cR419d9DN2Yj2fR4VZoTx5G3LYM4iYqV6c4BWF1DuU0ZdI6Yq06GIKtOrCTW/c91NNjzFt2sTlB 1Fw2u17GxsX85Z3DZV4u5mA2ZmI+5mOu5WGu3mT25Uh2Cj3KnGmuZmq+ZmuWohX1kCwI3Bq2Rf04 lgOeDXNZWebguX1iCkGwIgcWNWlgnyE1Z1CKAproNg3+KVXi4CIFzmz84JfFotBdZLlBPTgh6C2C 3jYxaPftRoVG6IKmk4a2m4hOqYae6CyK5qKaZCeMC73AAhoOHHCxxzjGD1tZv4RzuONojkQG4mr/ 5Ys4xcKXK4hPJLJODN8rvunGzGKdxmme3mmfxuhh1ByhLgqifkqjbhukHmq3cSJVBFyYomcSCece 0eQbdVp1DNAUPLxBeGdk2ioIptw/hoq6aAhhTUVTYy/pmD2BfmS2XmsoA2qvmpX2Yae99WK5tusz LrnWyYtLFlbhzLn58JhVKtch9iou+SDAS2XOAjmavkgLld7zhWbMZGZhruxiZmbMtGzKVpPIBuZn pltl9l5aHubnAu1IveXShma4vgpsbu1sdm3Yfm36tS8PSSApgOrxcIzKoeo+HsbkQCE2+UE0tVp5 5smwpo66GMblEipQJmRmTaarCOi2nm63pm6S/1rtq8hrvCa59vmL7t7uJdyKuGANYeUDwHY+jklX OM7dtfTKUGETnwilE6CJYh2qyAKtRmxQyiTNnu5vn/ZvAP9vnV7tpC7qAj9qpT5wBR/qvn1JC4Ep Ao7qbgkQQcikocztlg3O9Ya8T+pwf2YKAva7FphKVkZO9KpuFLfuFB/a1a5rknnxM3bxGMdrGZdx vS6V1k0R2m3IwaijrQVo4pDpd8ThHxcVGKLHQSKYbDtYj6y600Zm045yySbtKUftKTdtKw9tLL9y LvfsLffsLH/mMA9zKfdy7Najp6TmNC9wNa/mNWfwBc/rbSaLLKjZL4TGmYAPkIjnG2UTaGy4kf9K s0TWZwq2XQ8/0lMDaD6S7kWuaIh+9IGG9ISW9IeOdEuf9EuvdEzfdE3vdM6m9E+/9DOv5vudcWqG 8bo+9RkvdRsnubCYC4+ui+QJbMEeWbJNHlce7hI/tPvWJmmKDk1sRM4U8AAvdmI/dmO3VAJPcGY3 8GZHcGePdmgH4xtMYzaOcDj2D8KQ2hr1u1eF2Swp8g+vXNHhE5tp0xVXcXVP9xZucRp/d1SH91Wf 9xrXIxjfL6RlYyCZ9ThO16GAF51rS5JUmGyju1//yMfW3ku17NAe8yp/eDCHeCqPeIqfeIt3+IrH +IuXeI13eAI/apfaHJHfqZEveZI/eZFn8BX/beprfw3zCeef7OAFbjKbKXTKtV3oS/Rs5CmfYnTT tehNV/iFduhQJ/qhF3qERnqk9/RLV/qid/qmf3qpP/qpx+7Ii22sl+2s33qt94tEIDnWwIKUyI1T COxJCRaT9gvetT3PcjtLfMT9TvbP7vJmpnuGn/u0FWZ6W8yR0vKFh3K7B3wzx0agjvNpN3zEf/bE j/a5bmqHwYJAyHZlmQ9/VpB13nW5PK+V4hyPY/d1//x0x2536nqux2ZWJ33UV/XvnpU1Fntwpo8v tFvcZWzqdHv+E8kR/d7Ab3iO7/2M9/2N/33hD37i73jgN/7ht3qTX36Ub37mf37nd378XSqY/4qJ 3NDtmEjLcSURwML5m6fcRNcbjAOklWJrR8/0omd6o1//8+f09H//oVf/9pd/UI9/+Gd/947k0k99 /t9//7d3gBgkcODALFiwXBEkqJUphXsStsImceLEVq5aDYpIcSPHjh4nusrYauTFkhZPmizpKqVF V7RarpTmCtvKmTZp0rqpkybPnT57ApW4U2hQnrR4Er2ZlKc0oDOXPnWKrelOqkWHSoU6NStXrF6v glWK9CPZsmbPks04KCRbtW1DYnzrdi3duHTh3q2bF69cu2396u1LdyCWQViytEDYaqHChIKwzep4 Ets1tJYlWryLMuLkzhexcQYtOjTp0aZLo/8+rTo169WuW8N+LTs27dm2a+O+rfoy796XMY4EPlKk cOCJimc8hTw4cbXLhzNvpbx5dOjWgTtXKzARQYIHsShsxUrQFYgaffe+aF39ZvYq38Ns+XIlaPot 69u0T//m/Z9R/4MUIFEDjgUggQYWKGCCByqIoIMNQsighAtS+OCECKKXoYYT7dWhXB96GCKII4pY Iol/ZSQIYYbxccVii5EniCkTRVPRRJVhJtlZmb3F42bSdAakbrkRqdpMER3pWpKhJdmkaE4i+aSU UVLJU5VQWpkllltOqWWXXF75pZhhkrmhmRlKhx1w06mZnXFvJremnG4e5yabztWp5p1ztpL/J3TZ dSfQd+E1plCNZ3K0kkjutdcoS4+uFN9+++WEk39SXXqpVWJ9dWGEFX5qIaiekjqqqaKi+imiq5aV F2B8mRjribKW+CqteGFkmGGKMUbeSKxiM1dJa21WH2efeXZskcsO2SyzRS7ZZWzRPmsatVkye21u 0QLbrUfPCQfXetRdtyi54oJLbrjnslsdus0JlKugB4XXEERnBhducIw+6qikkep3ZMD4EeyKTKAd HFWUAHIaqsOlpgrxw6dOHDHFEpfqrcYcztrxrR97HDLIzhUG3hUNteLYRoeKliNHEd2VCFs+zmwS kBfdrKyzO9d2rc9GjullmVgyGTTR0hod/3TRZS4ttNNgPt3yxhsHd9x1VheHdUZ+Zl3dcsdpXXV0 YHsddrl95ltcvm4Owp1ABik0Xnjpobuvv/3ijRLAer9ncH6ZYho44Aw2jPFGolIUVeKqKrh4oh0p 3rjkBDp+OOOUTz71qiOL3Dnnn89ql+jznizjHqycR9ZMwmqWN7Kv69zZaC/xXHu1t9ue+7a68467 5hqLG7y5JA2fGfHHG5+88MgHb/Xyyhf/vPTOqxsoeAphn/rLap5Ekt3emwS+owCTrxLB96E/cH9e Xmq4xe9XHL/78l9cP/yY/84q6Pt73j//serKMaYIRCsqgyPReIhmxKrZ3WInpFY8sHe50/8W0IaG NAtiMGoZhBoHk7ZBD2rQafnrVtrSxp4SojCFKizhCVfowhey8HsmTCGg5oW9jaxHhvxqoOvaU75/ 0YRSfxMcEQF3PwpVDkMjzF/kluhEb/3Pf1KMIhXfUjKFIIx1NZMZzZJlEmOBMUicoR3uysg7Cpox jRJUoxm59cQzucd5+3IeHb1HEjne0Y4WweMe9egKPv7Rj4AcpB91aEivdYd7jCokI3m4N/jUJH0C m+T59pOw/QRufpq0H/2O6MlOgnKTbzRTzD4ks72c8i2prOIq2dLKtbzyj6ac5V541DpZpVKBw9ol D2NHxgiycWc/K80wrVXBEEKtaR38IDPfkQnCZYZwlGYSXwsbucjuzTCGjcxXNbHJTRjq8ZvaxGY3 y2m38PWyh6575L9qMkQjFjGTXQHL4+p5liZKM5+XaYo+9TfFf1YxoP+MZRd52cMwwg6hqCHjGhuK OzQak5jHbBpFL4gtixazolXS6EU3KqV+gjSkIh0pSUtq0pOiNKUqXSlLW+rSl8I0pjKdKU1ratOb 4jSnOt0pT3vq058CNahCHSpRi2rUoyI1qUpdKlOb6tSnQjWqUp0qVatq1atiNata3SpXu+rVr4I1 rGIdK1nLatazojWtal0rW9ETEAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0011.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
This is the chi= p we are using PIC12F675
power
ground
=
pin= s 2 ..7 provide 6 general purpose programmable
input output li= nes
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0011_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdh2wFkAXcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAA2wFkAYcAAAAU FBQbGxsWFhYFBQUNDQ0eHh4HBwcPDw8QEBAXFxcMDAwCAgIICAgDAwMYGBgfHx8dHR0GBgYEBAQc HBwTExMaGhoLCwsVFRUREREKCgoZGRkJCQkODg4BAQESEhI5OTkmJiYtLS0hISE/Pz89PT0zMzM0 NDQoKCg8PDwqKio7OzsrKysxMTEjIyMuLi4lJSU4ODg1NTUiIiIvLy8sLCw2NjY3NzcyMjIwMDAk JCQgICAnJycpKSk+Pj46OjpaWlpPT09eXl5dXV1HR0dUVFRbW1tAQEBBQUFCQkJZWVlFRUVcXFxK SkpQUFBMTExXV1dOTk5SUlJDQ0NVVVVJSUlLS0tNTU1YWFhRUVFERERGRkZfX19ISEhWVlZTU1Nt bW1gYGB+fn5vb29xcXFiYmJycnJ3d3dsbGxmZmZhYWFzc3N4eHhlZWV8fHxwcHBnZ2dpaWl9fX1r a2t5eXl7e3t1dXV/f39oaGhqampkZGR0dHRubm5jY2N6enp2dnaTk5OQkJCdnZ2Li4uPj4+EhISY mJiUlJSFhYWDg4OJiYmRkZGenp6NjY2IiIiVlZWHh4eAgICampqGhoaKioqbm5uCgoKcnJyOjo6f n5+SkpKWlpaMjIyXl5eBgYGZmZmkpKSysrK9vb2hoaGzs7O2trampqa0tLS1tbW7u7uxsbGlpaW6 urqpqamnp6egoKCrq6ujo6O4uLiwsLCurq6qqqqsrKyoqKi+vr6vr6+tra2ioqK8vLy/v7+5ubm3 t7fe3t7Y2Njc3NzV1dXd3d3U1NTBwcHQ0NDNzc3CwsLExMTAwMDHx8fGxsbOzs7MzMzKysrf39/X 19fZ2dnJycna2trS0tLFxcXLy8vb29vDw8PR0dHT09PW1tbIyMjPz8/+/v76+vrs7Oz39/f19fXo 6Ojr6+vh4eHu7u7z8/Py8vL29vbw8PD4+Pjm5ub09PT5+fn9/f3x8fHi4uLl5eXj4+Pk5OT7+/v8 /Pzn5+fg4ODp6enq6urv7+/t7e3///8I/wD/CRxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtKnT p1CjSp1KtarVq1izat3KtavXr2DDih1LtqzZs2jTql3Ltq3bt3Djyp1Lt67du3jz6t3Lt6/fv4AD Cx5MuLDhw4gTK17MuLHjx5AjS55MubLly5gza97MubPnz6BDix5NurTp06hTq17NurXr17Bjy55N u7bt27hz697Nu7fv38CDCx9OvLjx48iTK1/OvLnz59CjS59Ovbr169iza9/Ovbv37+DDi/8fT768 +fPo06tfz769+/fw48ufT7++/fv48+vfz7+///8ABijggAQWaOCBCCao4IIMNujggxBGKOGEFFZo 4YUYZqjhhhx26OGHIIYo4ogklmjiiSimqOKKLLbo4oswxijjjDTWaOONOOao44489ujjjwSCI+SQ RBZp5JFIJqnkkkw26eSTUEYp5ZRUVmnllVhmqeWWXHbp5ZdghinmmGSWaeaZaKap5ppstunmm3DG KeecdNZp55145qnnnnz26eefgAYq6KCEFmrooYgmquiijDbq6KOQRirppJRWaumlmGaq6aacdurp p6CGKuqoVA5UqqlICkTqqqy2+mU44oz/QxE55ZhDkqu45hrqP8AAAQpFgIAQxDkJoUPsRbomqyym /wQjQAhCCDHEtNRWa+0Q0QYAAABESGtttEUEYYS315ZbrRBghKLqsuy2y+g/wogwwhFHkGDvvfjm SwK9JZhwwhFI6HtEEigMAEK9+iaM7xEpEBDGuu5GLLGg/wyjghjppKPOxhx37LE6GRMDSiDBrEOO xhuns046gowBDMofx8xxOuWsoATEE+esM57/FKPCIBUZQ4YoCLEjCCHtVLQEEDjv7PTTblasQiFN N/mPO4aMccgx7wwEzzGIJIJM0lL+804SRlQN9dpsjyk11f+U/U85hiAyCinJKLNMKaYU/3IKqlCa jbbabRdueJZvE76kQPGcg8ohiiiCyCKpuANO3FMKnjbmh3fueZQFBcMC3Kf+I48788xzDj3qAA76 2UwU9PnstB9ZED0iJKK41QhZaTYSZRhU+/C018NII444YsYAjey+5j/rNNFC8o4Agko7xGdvuECh FGCACiq4cMAjzqv5TztOIAC+CgmsYKv28EMtECgKGFJMMaq4AImq5YNJkJD/IMcSnnC/YkDhBfTg XPwWKLH5wYAZArFH7oSXJuH9LngCOYMJ7KFABnqwT6j635kEsgoXsEIgFoNbz5jBjgreoxmIcIQz 8HG5s23uH2M4QQK3JEIRfvCHVgrGM//SEbdwmIMe62BIlVxHQhOicGoBhEYZ0BCNy5npH/eQRiRi IIM0QEMgNhxIDnd4OddFiRzPmAYNA5gPW/UOiHBMVQaTQA2BTGMRkqjGOOTBjnFc7h7pYIc6wtE/ ORqkhCesGAsQ8Q9ipGEBUdBHIbFkulZMghSumN7l1jE4geTQHT2MCAB5RQQwuJEVlFDFPuTxjsu1 Q5D46GAcZymQV6gBFq2LxQkq8QxLkCAFk8hHOGQxhBJIYRbkUCBE8mENcRzSiYqkmjxgAYMpSFKW /oPHNc6wBkhQgRYCIUcncSiDfQzEHdBwBjskAkB+sMEM2MBiG6pQCzeU4A3E6McljgD/BWMQcpYA HWU9DnGGbNxjEEdgxjKGYAUjtAEWw1DEFZTAhDNogxxDiogosIAGTJwiGET8ByygOYzRCQQeTzhC NCZ5pX/AQxlowAIclgCLcI7TDCJoxjYyIQY4ZKEIcSCDUIdK1KLKYRXrAOA9oMEGQISjHEyYwiPE MAYyJOIVk5gDGuQgDZYGlHYCWQcjnLAMaxRCE+U4BSS4EQ5YDAIUljCFPOpBiU0IQ1XdCIVe98rX voYiDNs6QAvKMIlXIGMRM0jFE+EWjyio1KtL9Icm6CALW9CgDsnk5A3tEIJRbKIG29oWA1pggtKa 9rSnbQAKyhE3gdADDWgAxiksgYhG/xSCFpc4gyIKsYpX3GEbkP3q5wSiDDyMohBo8AY8aOGIYvTs FYSQwy3U0Y5TAMIbA+HEDLbL3e521wUuQEBoHYCAB0BgDHkYAS4WKxB8VGEFwAhu5vgxCTkc4xh6 mEQCxzHOPaiAFqAoQgQkMIEJPEEf9LCHghfMYHvQgx54SEE+MPePeDxiDa2YAye6kYsV1IAKuUgG E2qQgk6wVrgA/Uc+LmGGFcyhH/+oxSS48Q9vdEITYjAFO+RhikU8Q1XF0IWQh0xkItOCFkMIrA3g gIhdQCMQM+AFe7G4hBvEF5tfgl4p8NAGMeRhFknU7EDegANp5KMYvRBEHergi4foA/8afhzlMygR hhWsYhqSuFsejoCIW/yiDkmYhXxRfDgsaiMHFDCEQFpRBjtogg+Y6MUd2jAJRcDhFu8r40NSAQVI l0IYIR1pIlPIuFuMoh9qEkcoDmEKVCRQc2KUAT8Ggo962CPOvOMcGBtRgSU4Yx6IGIMgyjAAMrhB E3WwwCsGTejCCSQafSBDMATSDDowAQ9mYAU6XAGHIaAhEdOAGETowQ15PHPUUGwtZBXiJAuG0ZM6 PEjgiDSQUEihEuzARyiaoAQ2UIIXeGiCHdwwDGY3u3DxSAc54hG3dBDjGNIwxz3iYY5uHONlDF/i QUChg2UIJBss0J26saykeOAjHij/T/nJ2y27f4RDC0IYiBniPUqDu1Qd8HDlPLahD3jgoxzcqEY6 4nFwWoZw5KYyY+BwNr8Z9OLjIQ+uQMKRjWt4AxpYpwY1mjEPqb98CAMhAw7IyCWIFB3FstM0yTV+ CwaQIFpRQMAipP6PfbDBBDcgQRWSkHciVGLd5wDDA0YQLSFEQAUTJtPR1372xmtJIM7AwhKQgAQb XAAQdOeHJbaAhBEAAAOdB0Aa1i0MKmRAAZRHQhPk0DrHu95R+GjHO9LxjmeggJGML3k71uGORFxA D+IYhAYwOCWKkwAK75j9OnL++uYvqiD6qIHINY7FQCAADP/YRAH6QPclPCztzg9//6ISl/vFvUMQ GyBBJ46QAdxn7t3ij/+hbicCSzB7IMVQggUEwAEo/Lj8RnJBFCR/BNgn71AN2+AM36ALM+AIzIYP 93AP62ALVbADTUAK9yB1AtQE3/ANzlAM9UBDBTiCPPMPxoADKJCCIzA+dAcP3OALotALpCAIiyAI oUAMUoc+HZCCKTgEMEaCQEgnAmEKDdAHhEAIZ6AAzQOARSIQ+fAGPNADKtACIFACICAC+8OE9LYO VWACR0gIJqACoBSEZAgnDoRd/4A79qeFGfUP5oAJSjAEYaAGasAFRoAFy8aGAPQOwDMQGsRBZYgo 8tYQatdyizeIvVMRNUdhSqR2hf/4Pz6EOP9QQlL2D9KQbuDHcvDQD+KADl1TSAgBO2NGczWnJM4z EYt4iI+oiJG4ihakRPJWim/0KXEDD8k3DrEEDvEAD+vwDuSQgfHQDuTwDusggvgwDuQwDsK4DhlY YStXi8nXDkQHgRkHDz63cvgQSy43jLgoEBLoi9qID73IjJpmckOicuPgi+EgJBFIdEICgdoYDteY jbkYD/cgggHYivlYEIg0ZcKIPVooEOrgC5rgCISwCtuQi00igeSwDuMQDgz3bjgUb5loOxVZRuEw jOS4i734iydFDvCwLtkIDvfAfO94D5djciIojCFZYSgnjsSYgeAwkvZYj/dYirr/SI8imJHvII1x 843kEEv3gIzv4Ec/SZQAKSS7GEvxgIzK2JAoSYsVQwdJkAR6oAvyAA7T4AZdkARToAniYA1hsAVT 0AbZ8A/1EAt8UAVP4ARbwAXGEECg8AshJQ2eUJV2wA3okAuVUHDTUAifsAykYA7ZUArBEA/l0AhT YJW/cA/y8ApUMAVdgFTvQApGkARFwAjO9A/pMJch9Q2pgAylkAZJEASbYA7CcAm3wFoB9AubcA11 twiDoA2zsAyrcAjo0DO34A1E1IQDuI/8SFIsMAkVcwdT0Ae48E+8kw+c0AMZcAEdQAEgcAtJs3b/ MA6s0AZaUAVXwAi5KWbwBkoE/6EOrSRLFcYOSRSJTqgJi+kFlRAN3OAHXbkEndAPwOAGU8AG4fYP 3fAL0vAOizAH1sBw6sAKkPBF/jALv7AP01AH+UkM8IANouAMsKAESeAFscAO5nAKp6ChmQAIuVkM t8AMrUMQ5cAL3lAMvkAK/nAOkLCYZABB8pAJkVkFrlAPulAES2CV15Bwr/AEWpAEfGANA2ENafAJ teYHA5QFW2AEiqWHjHIPxOAIVAAESgAFfZAL7aAMQXACQMAETUAIs7AEXjAHkUAss2AEbxAGFgAA WrAG1FANhZADd5AO4GAPjfAFQAAEUnAIqUAHcMAL03AHcHAImzAJ9WAKa+AM7v+QCUDgBUCgBpaA CtWWBW3wBCXQCdcABlnAp30QCv0gDIlApzD2D4twBqAwBnpaBGGgCq3QB39QDQLBDo3ABKZwDprQ B5SwC2LACGrQA6QQD8uwB6bgTG2IRfvACpLgXArBDzFoD4T0D7rgAm1WMTXACeWACXhgBEcABAXX butwCCLQA0rQBnpABBjgBMqwO+ejDWwABTZQAGKwTuCJQzYwa+ZwDLBQCLewTgmxCn6wCdowD8kE MfyQCUZQBI9aBoPgCkUgA18aBWQwCVxQBD4wBv7wD7tABtgQDenTCK2DDo0AAX8gD/lQCJhgDKag BlLgA34gDI5gCaOQBnqaBXD/IAveMAiOUA7zAAU8EKzD6gq5GQ2yYCvIwAe28GSe4A2CUARYwKcz hg12YKlXQAKewAkt0ARGcAVc8AzzQAcqEARAgAWFUAwRaAoBcATWsA+DMAQRAABHMAbrCqWK8g/i AApxoAsCMQ+b0ArkwAyJoArXSQIqgAlw8AnHUKKNoAazNgc1QKT/oA1L4AB2II6kMAeCIBCykAmw cAdxcAdskAbNEA2GwAnAMAhvUA+pAAabIBD1IAdf8AlkMAj2sA1ckAaB8Ac0Zg+X0Ar0wAxEQLld Aw90IASAYAfNYLex0AqXwAeRgIOmswl6QAeREAa5kA+1EFdgMABlIAzecAe6/5Cx77gPz4AKkhAJ IHAAQwALrNC+7uu+syAHJZCfhhAKyNAIUYZCNdAI2QAIzvAPkzAApFA+AuEOXaAAgvCJ1WAHOnAH BIyWmEAG2mBTN4RTvdALliAFbxsDn/C+HlwKILAtRwAGkvAM6LBG7eALfBAIsSQPujAIpsAJf6MO KQAAXpAL4VAIIAAN7UAJYKAPoTAHSyAE4cYOmzACJyAL9YBHr6AIyJQIXdALYIAGgaCf/xANl2AL vHAIi8AP9KAHAdC93hAJupAP71AJJIAK8HAKUJAM8yAJniAJZ9C6/yAKkpAKoRAHlFC7XDAHsbAI oNQJGfAJ1bAJjTBrvYAHm/+ADvowCUpAAo/AcP+wBzyAhrSYDZMACFfGDvWwD+SQDJ5QC/AADTGQ A2OgAjDwBH7LDouACBmIBi9AYwEECxGABm64CIoAuRkJDIXQBQ+AA64AD+4gCZBwDZqwCfkgCZPw vwLhBjwACF9gA4qQC99wC3dQC+YWQO8AD+RgC7UsK/qgCGrgCbcwa9dJDqmABncAvenwCVkwAy7Q CPIgD7XgCbFACEgwBbGQC5aQCxl7UrIABDugAOIFAAUAAeCV0Ao9AhjgAQatAAYQBlCwAx4nNYgA D+pwjHzQA7CZewKhDySAAhMsEO0AChGgBw8cCkNACrICawLBBgYQCXCAAgj/4AAAIAECoNA6PQM2 DQAXgAEk0AjPkJ7ysAmF8EVmkw/ZIAuWQAv3oAwmIABisK6sYAekMA2acAjAMAdngAhXIAv/gA6r 4AU4IAe80AivYAiW8HR/mglsAAeToAqfaDLcsAiBsA/ngAhHoM+5gAi04AzKAAcYcAfe8AhnUA3u IAh0wAaLwMz3UJTI0AQnoAi0gA3YcAmQAGp/YAC3AAydgAm2Ug+PEAnTEApUsAlGYAYT9g9/0ANI TYtatAv9wA6jIAVOgAjAoAx5EAaAMAliIAm5kAm3wAZjoA3ecAm5wHB4UAP/9w/TwAJxMDeKoMkE 0Q+F8AMngAV3vWKe4Aqv/9AL+xAIhHBXAlEHEUAL1MAIjrAGcvAHnsALIUUQzx0H4TAO2pAJd+AG qFCqAnEN6nxl7awFLeAEkZAN0+QJmSAIbOAJdDAHcqAK4juT80AKkSAEJrAtX7AJoAAKsdDhHg4K r2AG4sUCQ1AHogAJ+Ss1IlcPkwAEkhDhi/MP9qAFFSAJJSoMYjADdHCKV8MJeHBXNXRTLCAK2hAL iKAHKdAEkRALHO7hHQ4KjAADDYAFTaUKa4uS/9APmIAJ0dAOqdAHTwAHq0AGavAIk2AJe7C08dAM gwALvjAKp8ALNNAEe5AEhyAP/VALdSAHd8AHdvDHdXAN4LANemADZ3Dmvf9QsB9HCIFQDsRAUAw+ B56QC3asBRqABXLAB95ZD4LwB28gCWdJEC9VCcpDB6vQCVBwB4fABoFwDtFwCHtst7YgBqkgBiT7 BUbQ0WaAAnNLi/YACHRAY9fABggQAtBwDWQABrBQCtVQDKwAY7q9CpcgCcggEMvd3NNQA3EQD7SN CHUk48mgCm4ABYaQC3NgC8cwCm5QCLsgDOzgCm6wXi7HBjPACfrQDu5QCi8QA4sACq0TD8jAC8RS DdoeD+gwCqBAC5wgCeb0D9zQC5cwu6XaDp0gBYUgC2RgCNxQC4mQCZXQCceQCD3QBLBgbm24DtXw C4jwB3GpENGACGegCkL/9w+0oAPVajGMZA+Y8AalMElmowgC0AK3UJSfoANJQDSMR22OwAg/6NL/ wAc2UKrkIA2ooAyKfhCuwAnPYM5J9w63sAd/Mw2BUAMO0AiRMAa68Av6MAtnsK6zwAehkAm7kAyv sDSOIARbQArqUAuIcA2zUAIy0AiHQAfJiwuAvwmhQAmxkET3gAy4kApc7OiLsAkh3wNPUAvB0AxM QABusAhjwAvtEA2V4AYGObfuoA2swAvCwHuoIAJSIAh6sAizoAwwlg2P8AhJ08iDoAt/4LJusARx kLFrwOsGJ4jrsAxp8AeyQA214ANFUAzGIAe/IhDFEAif4A2jjwp9QAfi/8kFEPDtFRPR7RAO3+AJ k2AMyxAJfvAIdpAH17APmIAGj7AKaBDU/RAO3HAHexAKovAKZDAHADHJE6NlrXKoUVXHlDFQaBR1 +9fNQJh/2YwcAkbqDyNjsMhQCqSmSKdZxqY1EmJL3a48lGLd+bRIEzpjPBZcIvcP3E5w/3z6fPfu 3k+iPuORUxeP6CoXrHwOY8GJXSEYWG4tQ8dT61aePvXVIYFJXLpHUw6988l1q09KZa7B0/nvXRIj P8eccFdU7169XfF1Y9NGFbNUQ0xoGtTqp7NEg7TdSWMMjyNUejz94gbKxRlgsdxwq8cFAJxZjjBp UxSiyy9hpv7UMvaKD/8hVYEG5cs2aRFNm5fa/ZsFxNkrLsPiVVsU6RQhS8aMJfpTiZAbgq1wfMk0 KRvRaoWUCJqVqEwrT3pGNTvGRMU1cGAEGEurVv58+vXt38dP3yc6QT5sCJHhClT8oWYQWn5CxxUm 0iBDEmmcMEOpf8z44ZufqgFhjXX+WWcXI6QIIolDuMHkD2X+KQYON0qxw4VGemrnFCl62KIKRObJ ho4utmDBilSqkeMLJ7aAwphw/sFwj3+Q+cGQeKKJpAgnqpBCFGj0gGCHGaQIpZM9cvlnHzrmsCWQ VRipRJ5w3uhhlQ3XKso+vZhy6p9halhkmC4qEACGLZyJT85/zNkmGHL/0pGmmH50EjSNJraLCx4t hvjJjBPoiYso/TTVyqdwZPniBSCOOGKRUzQJ5Sd1YAECDjAq6eaKQnIB4ZZw4rGHDESa0WWQbcCh pQczgslliDj+SEEEY+I5xg4hlxjCm2okMWSfaA6RRM03UHDzH3jYiWeNK9D5R5hKHiFGlSGkcAKJ ScwRho0dWYjik1sAiYYoezyJYIQZZHAkPSrouSeeVspAJR5PbPAm0PwehjhiieXzaZ9lTknmlGfe wacfYPLhKZ5yvGHmGHvGgWYYRoPxRh6e3vEmmKH+yQeaXnBZph54zglGnH/CsaYbc4LhhZif+vFm FlZ6MfofaXrxRRVk/8hpZxptcMFlY51gDgYcms75ORtlsKZGnXWs+SUXXXqph+d8fKrmmHz0ccee eY4ERhl68Jnvp/r87ukfplLxqRsWHOlHG1lQyYUVcSD2Gx99rJk5P2eYebOneJbg4qc9LmV0Yjjl wSYUY37RZh9/oimnK3OoYcaacsiR1p1l9kkrGmLMKQcYef5hpxlp2hGHGm+K8QYXf/4hp5tksHZm HXjmmaeddc65+5+8CQ78n2O84fudeuaBRxxsbl4GGJ2ejtqZfdzR5x2tqi5FbVbOIQcbbALvJxiQ hWFGP0Q3QAIWsG98AVz3+rKTBHIKgZmKEwMf+EAJQlCBE0wLAhVowP+u/AMUMKCGT/IhAk/s5WF6 4QUdeIGP0AmKUf+oxx4soIM21LAGK8AdBzvIlx1q8IIZpCAG/capCv5QiA6bYBETqEMmNhE/EaRY 6FrYk7XAyWFqmSIRN1jBFkqxUw1copyc6JNVFMAOpjDFIAQwiAXm5x78AIY+qPeJFXiBFIvKjxSz 4QQEYOAHf0wADXLYRChyJVAvFKMEA/fFKxoSi1hcohYNCbhGMtCJl8RkJjW5SU5OzCe8cMEOXOCC CEjgEZUUFDsykQY08OENYRCBA0hQJ4nhgx4loAI60OGPPRChHFPsZDCFOUxiFtOYx0SmDvtBDVH4 ghWXgIAiUPm3f/D/IwwauMAFFtABCQCgAp2Y5qa2QBGf0MMa7UhmOtW5Tna2053vnORP3CECS4Sz PutghSYcUYhCQCIQm/jEM0QnF7oAEZjwRGhCFbpQhja0J8NQQSHsSR94ECNfPwnKRPs2l7oc1KEf BWlIRTrSAdopohqdZDnMQAVU5MQcq/CENljoSY6ilKQ3xWlOdZrOokjjpB6l5jykcAARfEIe6WiE DqiADZt2ai5MKOROpTpVqlaVkESZZz2BuqlzBAEAE4ABINQRjDFEQAxN7co7kFCGNlrVrW/N5BEp CFcd0gMUgMAEIc6ggEagVYL6sIIFjIADGgwCG4GAABr82pN1VMEE/4QghCAkUYt10NWyly0gPrLx DM521rOfBe08MFvSf5AiARHgAQ9GYMrFeuUINUBFKKoggiIcIQpg2mrf2uGEDvAgBBxIABPwOFri tnOBbaTkC5W73C1yKA0qgC50e5BaFPQABanlQQ+ia10eTIKHXFzuEB2IwR2CV1PJ/S4HfQIKDAAC GciAxQwc0VZq5sMKObjGOJSBBxs0IRaLyi14e0KOJVQBGc3ggRWqcY/iNlidPDTheON0Xgj/IxWZ GEWGX2EITGACoIvocCA6geFXVOIQp9HgES9oXhOyOIlJ1OF6YdCwf9CDni0W1DvSeI15ZIQQl2gG OybaF7ms1SckAP/DYh285AL6JBidSIQjaqGPf9xDGpdwRCIWwY2ZRsMb0djJObrRD3nAAhXvEBku oOETYWAjH+PwxiAO0Q2+VU0UuxBEK17BiGP4hB1N88k8YjHiZgylavawR3gSEYumhQMZhigEInrB 4GwwojGs+N08XLHoeeikHMHQRzU2DQlUsEMezGCGOXZynF50AxzxMAYq0GEOWySiEKuYxz2I4WZw nC0Y0PgEIjShCUi8gh6b2uohBdeUp5y0H7OYhCla98R/oOMTXPACFNqQhzbgAQ61sGeVrWEIRZzC ZwStyzpW0AZl5zaMVnwxeiUMQXrLVbzxOe67kY1ve6vYxcnEBzH/IAECFoggCoQ42ShYsAMWmOAN x4hHvNRwin/gQxKEAMY2TPADaOADG0FQwttsYQlWQEMML8gBGFRGD0QY4QgQmMAGYkCIcRDDDHgI xj/iUQw/nEAEOMDCK9LBDEic4hVFYAELrtCJecQjH4iIAQ56EIRQRCMQPmDBC7iwjGgIAuk3cMTv UDEJXXCCCEmHAi+usYc9IMMn94iFHiqBjmbg4Q/PuEUUWKCCLOzCGa24gzbChAlJdMIJLOAAB3gw BG4gsSjxmI+EikKnpxwOHrwAggpWgAly3UeEZhABCyBAgAXoQAEAUGyy4wGMRRAhBmWgRk9qqm52 64Vv9JF8GKus/w9laAMaOktHMJihDWU03Sfi2Ac8dkKOsUiuG/IDxz3EEY10eGsf/BjH8fdxK3mI o7LqKIY2riGMd6iDH+GABz3qoRR15KMfDH61PPqhD2eYQyfxMH/25doOdPRDdT0ZB2HQBm0oBnKI B2JoBm34BnWoOHd4hmvQhmNgQHjIG23gBnnAB3OYBwHaiXewB3c4En+QBlUzJp9wB0lIA1iQBmSo BDQQBXJwhTlYhWC4BRV4l05wgSNwinFAg0RwB1vYAhFYhHH4hhSIAKFThUSABUEwhFIgBBF4hX/4 BiiQhGo4hA/4g2DYh3mwAwQgAWn4h2gYhDyQBWnwhkAwg2HQBf8sqIQ9eIhuCIU3IAR12IY6SIRj WIYuCAJQ4ANYSYZCqIROiARSCAY9aAELOQQgqAQw0IRuoIZJ2IRReAMzeIa324U+UIRQQAMxeIZQ mING6IZimIU9qINdsIRk+IdyUIRG8AZiOAYTkIFe0IdxYCSdgAd5YIeZ4Qt1YAda/AlXYDY7qQFO KIdKqAReaIMfUJkA24lwoIdpGAZG2AESoIU3aIA+CKd/kAdXgARd4IVAMAYWIoeCoj2iwAd/+AZ7 mKBg2IZ+YCEwGoY90AEYuAEx8IZkAAIXgIEQWINhyEBIWATc+YdrEARkQIc38AFeGIp+WIUhmIUw +YRXiIZ4MAf/gOzFWQAFYOgHVQgCGFABPHCFXcgEcXCHO8iDfPEGTPgFcuGQWZgFSIiBWDgSdXAF QtAGcqAapcCHcSCHdyCHcAiHniSHe4iGUZgFSbAFFroGOJjHL1AFb8CDGuCBFNgFdUiHQ2CBeZwC V0CHbUCDebyCULAHRvADWfiJZ/AETMCfS+gDWVikYfKJa5CDQ2DJcJAGfkAHW6AE9ZmFAriDZugD KIADX4ChMViFaTCDSVCDPCCGZ0gDHVACaqCFSfgFa9CHZkAEt/gHUdCDhlmGEagEn9hIFjiBafgH VmCDUTgSufCfUYCCO+CESmQeIdiCaAgGKlgBUKAGVoA0QqCy/38ghmA4hm0YijQQAGQYB0DAgkQg BIj4h3OQBlawgz8AlIq7BSEgARPgg2koB03whG3wCXkwghUYBUo4kX1oBEyAlCZ4ArSQIp+Ah2xQ hTcYArN8IGiIAzxQhWzYEFsIRqiAhHeYhmkoBT6wg7zIo5+gBhqgAmEIhAyoPf0ohzeAgjIwAkJo mtlbATz4h3SohlOQgyfwAdxCoDsgAS/AhFTQB7iIC3wQBkhIA0C4BEVAgz8YBTwog0vohClYA2Mg Awsog7f5h0fAg20ohi/AAD/wmX7ghAEYgnrIh0ngBGEIhh8tg3RIBzNABHvQBjAYg0BEAxk4AUfw h3qgggf4hP910IY/cAX700Yy4ARVUANC6LRq0IImeAMtSII3wIZ1SIU+mAIk6IJOgAQtQAIkmIVj CINAUARGqDk56ANDqAQ/aIIVMAJNiIUyMIFSYAdOuAJLEIQxOAJTAIQyOIRKMINE0AUxUINb+Alj gAM2oIZRGIJPyJcSLK066IV2KAdYQARDQAZ3uIU2wIRSuAMsUARBwARdaARWiAdqwARj0IUaoAM8 +AJRSAZKCIPJSARIELx/8IUxGANvQIdcIATTDAUIWATJGwIcyDlTiARkCIdzGAVF+ARSWAU/WANT yAufYAIY+IZ2iIU2WIM6cAVGqANVYEmi6IdY+AI/yAdgGIX/P/gDW+AHopgGO9iD6sSHWgiCGQiB OrAWT9iFX9IJKoCBV2iEa6imRVgEiGiHJSACdQwddXCGT8gDKWgBDwAAElgDP/ADOhDaofUDO3gC BgAAHJCCNMiEMxgBXGi2RBDNTCiDQrjVEwqHXxAALfgGS3AASkk2YPgBEJgDLFgDbci+cUy3EmCC SsOCF0BaAEgBoB3auvWDMzAAAACABDCBOJgFfQiHAYOFN1iFn7AGXdAFQkDFdFABEEiGMygAL7jY dpiEP6gHQbADIfACC2GHSqABFNgFB30EYQAGO1gALJAHesADSciHTrCDEPoHb9CDKSAEdLAHPqCA K8CGZogE/1pgwH/ohzjoBHI4BTIwS21IgS3gAyiIgzb4BKKjAjUgg5/VAyUYgzRYGiUABE24BXSg BTKwBZ+IBku4ARvoBGJIhUiAhnxghEfIvk4AgDBwBFeAm19gBUTIgwPxCWZ4AybAAiU4CyUjIJ8w BjnYhXEoh0lgAQDgA324BSjIA03YhWtwBDqwhVC9hXmohVVQBkrgASFAgyA4g1Y4hE9AhTbwATNI hXOgh3nQBS/4BG24hFy42HRd158IA3f9h1JIWHDIhjOAAQB4AjQCyJz7h3YwghOQm30Qh2e4hCn4 gUS4BYFEB31gB3QYVkCQh3uQhV0YBUS4hE7TxnpIBTtwA//T9IlWcIIh2IQ12AVrCARNEAag8AIa uARIYCp18IRCUJmYnVkgEodQ0IMaGAEF4NkPUAEaUORFXmQREAC9rQAD6IE4MIIdWIZmm4R2mBti cAQo8IXfOKF/2IYySIR6+IQcqINszIYbAAJzyIc1UIR64JCCGgcfoAJmQAQSUAEISAAAeABGBmYa iCUAGAAXqIEseIRv0L9+IAROCIZ42AdquAZs4OFHmAZXSAEyGId5iAEnUDV90IRRGAYpQANI6AJd 8JpbSIMl+ANQmAQH+Qd9uIEiqIdnQARjyAZLGAUh/Qd7+IRAKIdowIQiiIJFuAREyIVpqAdumFhe +AdokIL/TbCHTJADO5CDTPAGQnCEWSgET6iFZcCFQfADjqAHfsiFSNgFTKiFfNCERjBin1iGJgiC SHgFblgHe+iEP8CFbbADGgCCS1jG8GyENtDfh8aDFiiAIpDNY/KJcyAEPFAGcwCGTrCAOSCGWmAD VOiHd+gHULDDMigBSJCFM4AFUjgDOxgFX5gDHYCER2iFfVCED/ABWBiFTOiGbdgIQ9iDZMi+WbAA QpA8JhABlZkGT3gDbuCHbHCEBNiBRXAGUmADUEAHeVAGITADe7AFQohqYCACGRiFQUgGeYiGT+AE X4iFK7iDYngHdkAEQkCGkZMFeXCHTMirN+CDXkAHdiCH/1jggkewB0NYg1gwBTqohdxeBi5Qg1hg A4WdQjaY43ZAgimQ5dC5h3dwh2NQBUzQAgrwg2/QB32IhvAWb30Ihk9QARK6BWjYh0pwAcIRRmKs hFuwB1VAA1JgwATFB3IoaXl4hlpY6r4pBzdgg274CkjYjnEgxxUog3FAh3OABlAggxTwTfAW7/Ge hiYIgUkIBWtwh16EPOA5BEfohnAohSyYgRNAVShwBEgQBIhIBxIIAnS4B2MQBF+YBRGYgjfYgjs4 B3aohUiQhEbAAjXYhO14cS8QxUwAhmpABEbAFJ/YhkEAaGIAhEJoWilgA1tYhUfogxD4g3zhhzUY hEzIA/9XAIUs6IEqOAJFqIZBuIEeeIETwIMicAEcyARlMAVXUAVKqIVy6AROCGpwSAdiWIZN0AMo 0AZ/WIQrWANKOIRWmIRAAE+jcIdBwINU8Qls0AMSSIM1WIRzY+pwaIY2AAEmGAMSiIJTcAdXcAMa Awd3cERA4AJXyAUciAVEAAFWMId3sAUSWMVdAIdiyMpcyIXm9QQyuAY3uAFX+wdaqIBBkDwv4AHw bIdfwIIU0IM4WIIrwIA4IAd+0O4jGIIs8ANugIdjMIMgUIMuoIFC2AY3AII+wIIuyIRbWAEPGAGf 9YYkMANyAAY3UAI9YAItOARWaAMIqIEf8IIuKQNJaIf/c9gDLliFQiACJBACKYgEaygGOiCBH7AB K7CFnCCHFSiB6e4h6XMGVqiGCXIHXLiGN/MJWPhPFqCEdZAELNgDNqADbjgSajOHVKiFS/gEWGAG cZgp/QiHZMiCJJADNNCF6luHBE8DoniHaKCG5XkgPMyH1RyicUAFPmCEauIFK9AATnAER/iGbsB6 efCBKJCHcbgESUiGTgACO8gEM8gBQWCHVigEZzCGl6MDsEkHH1CCaxiEWmCHfXiFSbCQfzCGLMCB 2iUGQjAEYACEEViBXaCFXbCDI9gEcgmHXLiDIAgCakAFMogEREBmfliEPaiEXKAFR1gDR2iFYaAF TsCF/1SABFfoh1+whFKAckEABWRIB3+QBAEIhHowhDHIhW2YB3ZAhURQBZ8ohoMVgzf4hZ/whjwA A29whUu/b6ZOB+mUg0lYg1NIB3LgBl7QBy6qB22oh2lQhG8oBUpw031ABWi4hupcBU3IBswGCEy7 SKFL1YjdP3DdKF2LB+4hrEP5wP3zp+uNpUhiZDWC9e8fN0V5Iiny9hGfKEd34hAiRk4UIUSJDp0b VmgPnzSEpGki9Q+fMU2ICmGSpm4Wmzht6iijFspbuH/LMh2DJtLToGf/wi2T0wbNLX4J4THKxO4h WrQf1/67l/YtPnxsP65ywerjMBWT/kn7dAhUL3IJ3/8SVtvtjgkIDyyouHKq3eDCauV9svNKVb2P 75IY+bduRZuPFNlKfjg3sul49hwFsSOJ05MrhiB5ZIvuBZJ0/K640bWFEzd6vHKM2TaKzrZ+ewBg OfePHQ0njFa0ugcP2Z83mBx5WbHFkblqiRrJk3aCwCZh+gTZaAUZnD0mHcbQy1WIWz5KkHBFMvOp FCl3BKLPR2dc0U0zdVwCTz6K4IFJI0PMEUgckSCCRQuwnPOIJwR+ZE8jcEA4BB+uuPHDEmOYUcos adBRTz2RDBHKO6KVdiOOOY52Gmlq8biWaaT9OFeQqAG542lFCjkkk0A2meSTSRaJ45GlHUmXXXip UAj/jzqO1o8cPNiQxA4YqBCCENrYeCOU/2yhxkck6LHmmlailtZa35BxBBxXMEEKM64sY+M/6Ywh xzj76CEJL1CI8hFlmSAjyi7T/EMNFIOI9c4btEHBzEfqmIJGGEK00QovufhDTy26pPPPJlT8co9U WFyz1j2WfCFKO8m8kg0+yowyyyd5MJEHGJOEEs1HiIDRTzS7+PKRKH+owQUTrnTjxhBdJIEJP/Kc Akpmay1Dx7VAjGJOLliAIAMIhoiySSaZNWPHLf3U6SW/VHZZpY9dIjnYk1MGrCSRA0cW5ZAIN+nw lQnv2K+XdOnQy0fZsJCIwDl+NA0JNWhDzxxBjAKJ/xac7FtYkuFEk4IU7gCTQx8rU0zYT+yU4w8/ 6IQTzjrwvBWPPOnEg08/5LTjDzxrjRN0O+tEdQ877+ADTjzqvLMOOkI/tE4/4ojT9T3jxDXOOPH8 M04/kP0DT9dqqYOOW/2YQyvV5dBTDDTPOEOMPFeDow47R6/TDkXwsCO2P2bLI84+/KyD9dOCJ/64 OP5M3g46++STjzrtkLMO3uyQY/nNqau+Ouutu/467Kx/BIoLxnxETA0c31nxP+eQoEAlvkzRBShp yKCJzVRWY0UHFZyAgwNjJC97w3hW/6+TCTM8MfcQZ3+0XIRqz3Cd5IvWY+zpq78+++277+8tDkzR R/8fXyQQyPRUrvMKCAqMMAMbTJGFPXQjf5L5Bz3EEAEUkMEMnjDG+yIoQZwt7EcTvCAGM6jBDWbw I8/IAglWkAIcaAAQBrTTP1TxBCLcgRvb8MMo0HFClrkpDOjjIA5zqMMd8rCHPlTfPdLRD3T0wxs8 QMQMJYMPeZRjH+iQCz8eIQfb7U5H/2jHFIZwwx9ysYte/CIYw0i9tZwjd0l8y0fEsYgxtGIbcvEH IfLgkyp6bDOdoaMY86jHPfKxjxj8R164hEc2/cMciICBAloghU08gxBgCMUZ8WTHSPqxkpa8JCb1 OBd7iEB3qfvIOJCRCCssQQQmUIITwvALSppmkoP/zCQsYynL9Zmvlq/E4DqiEYxhdIMULoAEK9GI D3UwIw4CKEAVeBHMf7wDCUKQ0iyjKc1pftKWUerhR7RhAxaoQAUuOMAjgumwebzCCHCg4iddCTBq srOd7fxIKLIgz3nSs572vCc9a6PDj5jiAEOYxCTeMIBGiPMkzkAFPYhhjXNUQxriWN3aohCBeTJh EDVyJ0YzysXt8agUQSgCE7zQhCZYwQtAkKcShjAEKEThCkUoQhRG2oQreEGlQzBCEZ7QBFtETJzV BIUOQPUPTnpyi2zCxymgIARqNOIJY/jENR5qRSJFVAAyHYAIynFLjXK1q+r7SDuIgQ1sFEMcVAsG /zKwcQx/gBUYz8gG4sjRj364oxv0ONo96jENIgbDG9AABtrc4Q6rxWUd+kAGNbyxjXyEAx/rqIkM sfYOc6jjYapDH5aU+Y9gsECQV8ycW6bqjy9oYA3ByAMCKpCBIDzqlvGYxzfGOhFmJgEIccEHGnBA j632y5oQU5LCrPmw8bVJuMVNiGW9ysF/xKMZaghBCJbwiGKQYgvQPQEhJrINPPDACbwgBy5UgYxN lACYH5HDF4zhCzW0QAZ/AEY9DnEID/2jHJFoAQ5wUAVJCMYaQ7DBLaKiDlgAwhvxsA6twGGdcFBk jHOpy10AuaW19KIQo0DIVKcBAhU8ox2FqAIcvv8whFPk7yP+IAMKVICCTFCEHEjgwlr2cILd+shf hIwHPOARDrWBAx/hyHGDrRM+tDgkHjy2HtZ4LGQg+Rge9/DxjhW8Y9EsmMdGDrJDCHPlBj/kwD/D h5C5nJAFhy8eP55yQraMtR5/GcFZVq4G3/ZCPCxiEW6AQyEEQQU6i4EEnwhGJfwwiSB8QRqUsAQ0 lrMFd4AjGyCAQCzOMIdFOAIOn3DFHMAADdEcYwxBwMQmxoCGhoBCBCtYhDs2uwU+OOMWQKhCHajB Cj40gQupGAdvrbeOcmj1wVmSsCCrAYUQKEJfU42GDzZQiVBcQQuAiAQf5simcHxjDn0YxSWO0WL/ zqxlDDMm2DrRmLyP7KMTVeiCEV5RkzsEoQtWEEQ5tiGHLpBh05tNRTDIsQkzFENt6RBFI5DxD3Sc IhX2OIYb6O0MeByDGqlYwxOKAIUnxAEa1gCDFTThj3YsIw5doIIkxEGMV9BCX6zwBCpYkQyKFKMX zVCFNmohCX114xTXWIQXooBKKYxCHt/oRT7a4Q0ydCEIi2CrLYrQBSbsQl/mwMUsUm0PUlxjFFyw Aha+cAVIiAXOcd7HJd4wrY/04hatIEQ1/oGNDJShGqzw2x6G4Aw3NMIdiOABEZYBD1jIQAdq2AM2 PqKMX8DCDmdwxkfisQ1IcIIf4BAEE0IhD0BA/yEQbFBmL0DwCGHsgQhC0MMb2EAFIABhDNCwHvnG kQs7TMIVyBDLP3ThgrEH8jmE8IEPNIFhjzGTED1QQAgCEAZUIEKOJV4HKZQABEEMQ220veM/vJ0P tjAYj/FIh9vWKY5dGCEIVJBCGRpxCym0gApeCMIeGpGH7qRht6BYAzT04YQEEOJV6GjECNigjnwg YhGwUEQadIcZdAMkBAIuuAEWVAAAaMEa0MIlUEETkIAmcAMdXAEVBEEYhEIttIEcVMMyzIEZvAIi fMJHfMIavIIYMEIfsAAqxMMy1MEuBIIayAAA8AAThEUicMI5WEMdRAEVfIESnIElwIEUUIES7P8B LKADPQBCHRSQNchBJUhCHCwBAChAERCCOOSa173OPwhDIUiCPcSDO2ADMjgDLPyBLQADIeAAJXyE OXwCHAzCLyjCKeRHGRBBJNhDISTBCDQAJMgDW3CDHdgB4iUEN9RBH2SCIPQBGSADMXTCI/ACEHSC O1yCHxzDMNxBJkSDIdgAHlxCN6ACEcSC84EDMBgDKqaiKqpiMiTDKmSBAwDAADhBJKwCNyzCDGjW MLCAI4xDKvwBJWDCIxjbVPWDJoiACAxBMpgDLTyCvbHJOPwCFbxAC+CBNzQNOXDbR4yBDNADPqAD MSjDKthC2g2JLOxCMxBD2wzZFaUCH2ACZPj/wy1AwioMgir8Qz/8QAUQQjZEnwFQAzhQAhpEwynk wYulHTtswg7YQCrUwyJIQiUsQgG9AQroQhw6nxC0QDD8gywgwjL4gx7cgCeQwa2UQya4wiWQwRtw Qh6IATF4QyKwGD7cwRCsgiKYAhgMQBsAgzfcgS7IEClEACF8CBdswj5kQh6UgmfsAg5EgBiYQ0UY QhH0gjlIQiFYyjBYgiBkhjD0QBysg09t4ScBwyRsQj68wyscAQzwXBj0wSAgwigAA3PtwzW4wRXM ASFMQz0QwiE8gQ+cQh2UQRdUwCToy1o4g+FZw1pwgxvoQSNgSymwwzV8QjPUgx0MQizAASiQ/8Mw 2EEbOMIYLAEYjEEksIEVrNJaNIIOrCZrtqZr6gAELAAAzKYGYIABqAEU7MCgAFINYEIw3AEjyEIg gEuuhQoxCEM+CI0QjYPHrIMziMI0GEMcYII9rI02/gMZ5EDfcMIVCAAGYIAQ9MM7pAN5luc79AMT YMAOZAEm8AIxMBhFyEMnJIJJXNE+ZIMsJMIs/AMz1AARnAM4rMMQ5EAxyEMjaEI08AEbFEIUTEs/ rEIR5MAd4AInGIIz9AM4vEMYsAAoyEEtrEUZnACz0EIibEPs1YAOHEJlMZM64AIe/MAAYME33AM0 JEIshMM+KAIduAIkxAImkEASrIIqWEIuVP+WLOzAIXwEYspCNiCCIZRLOmgCGMiC88GCC2yCPQgC ImBlITBCqs2DCIBBKYrlBRXSKICBMgEDKPQABVjiIWDDMAAiMOSCMqSDL1QBEgBCOpxDI4wCJUhB GnjCJNQBGyDCMHzENdxCJ9iBGPTjR1gDIkACMqhCGnCCO4ACgo7DLETCF1hBM/zDNvxBHhDCL9CC J8RBIbhCKnTdQ0BDJbwqrMaqrFYCIFDBbCqAF/wBJ/yCJYxAa3VDDUCCM4xBIkRCFqgBM0QFvzCJ x/TDLCTDVrhBIQiDdULfGexAIcBBBMwmt5IAEnwruH7rERQAt1bAE1QCN+AaPjYCJuhDO4j/AhGW CBnoQSfcxF1EgycAQSz0gzVIAimIQg48gR0kwSaoQz/Ugh/QgRyQwR6A4T/ogyeEASbIHDWsBRfg gDT8wy7cQTfEQzKIQAO8QlSsBTZwQQukQBr8wjg8QyKYgjo8gySMwi0gwiswwh/IgR/wgRioAluF AgQMJTugwiYEAzeIQStA5UcIJzY0TQo9wCQQgyBAQtpJAyRkwjz8QzWwQBzUiBaSqeyEgzWYQREU AihMQhJggSAkgpqshTAMAhpcgiSsQAY8gil6wiEswxpsQCPEAiToAhucwSFQghIgwi70gQ0MARso AjY0AyJoAmQswhAEAgnQgTrAxxAgQBxU/6c32MEhmKghWYI2rGgFmU8plMEcbMIxGKbsjV03sIAl 5IMv0AIlREEYYEOCSdA/DBgdEMIlzMEqyNA6XKcd6AAjAAIefMEKKMAN9IEQCIFN2ZQQKIFs+kAR cIElyEI1uM07mIIdrJKh9YADYMIdoEErhEIwmCInkEEqMFctCIIxjMIUQAEiMEEVlII61AIiaAMt +IANTEI9REMjkEEz5IMgrIJzfMTFZuwruEE/vgIJfAEgGKY0aMMowIEazAIm/AE0aMMktII4tMIr 4EIrxGQlbIIzWAILPAEsAKLPDmU0dEIr+AMwBIImHPA0cMER3MJaxEIPCII9HMIdTN80wP9BIFxt 1m5tWHrtsl6RL2DBEbQBEuABK+DCKxTiR7zEGLyBI/RBEkxLPsSCKmTDK0TBL0ADKODCJ6ABEygB FyyDPVDCEbRADliBKhxDK+iCYBxDJ1hCEnTCR4SDHDxBKUAGN0hCMrzKPoyCKsCeuJFPOujDPNAK W5jCr9XeR+iDK6xw10IUPkzDJOjBHVDCoT5ft8nAPrwNMIRCHdgC03bJHniBLOhDK5vGPRTDGeCB LDzDMnBBDizCIOjwR5wDHaAAHKiCM9TDHDhCKeDBHZDCMYwCAEUDKLgBN5wDEwAAHGiDG6gAHPCC JHxBLbzKR3gBDxTDP/CCHAgCM4ABGJz/ghjEAjOowh8oAidUiDtQwxrUAShAwi0IAxFXgym4gSQs AiD4Ay6EQAdcgmDQQgUowj/0ghfoAjykgyywQSUwgyiwwQ3YABmowjKEQlJsAznsQh5cgiwMQhVc AlsFAwSogTgrcZm2QznMQz7MgzjAwzhg31uMgzk4kTnkw+QEETncwzvsQ9CkwzqoAz8I1j60Qzyw Az2cQzTUA/a9wzuoTRCtCiBSROcgTu66g2BcUT6cxSbDRfj4WoRZckKsw+Ro0D2gQz6IAztIsjpx 4yl/BDzIQ/b9CDuYw8hGDDygQhbQgBEggQ9wQigEwj1+xC/8AAIIQAiEwTU0QSHowg2Y/wI84MM8 gIElNMP/bUM81EII/MElpEAGCAAP7IAOLMOOpMEKFBA/HEIR8EEctII+FMIXZEEXOIEs9IIdKII9 hMMqKIEhGEIofAMJLIKm9uglfII8wMMc6EAsgGUp9EA4tYIJKBM41MMkUEEW6BQjiEIe1EAKgBg1 uEUxmMELuMALDKDaZIMMvAHXwvQEJVdwIZdxURVmZY/4lHUjV1BdrO8/dMOE4XcS3wyUZCP0edtu QRMNhdtHsAMz2AIvhAIulIM5EMNEPEQ9sAIp0MIt9EI5aEMw2EMq9Fo8CEM1LLUwIEQ/JIM0ZEMq fLgr1EIpRNZDWMM1VC44nIMxNMM2iP9DOFRDL/iCL1wDO6hDN2SDYPCDNwDDOZQDOvQCMfxDPmSD PZyDPkRFNvRCPbjFPiyDPvQ4L2RhWwiDevlCMhTOMdiCKviCM4xsOwzDLLhCKEyDsr6DMgxDaNG3 nyNZjbHP7MBAxVq5CFjCgX/VPxBBzXwEG5hAdSq6BXlPj2BP9UxJLd23wiDJxPSUZQmMUf25qOPu P6xCB9QBLdDCIkRAG/q3+7DDLIiAD6Q6LUwBC6QaLYX6wIwGlwWJadSYg/P6wey6sPd6r99J+agF 6vV3+dxQoo86tPMOK+yAAcyAC0SABISTqwt6N6QAByyAC7jADFjAFbBVtJ87upMpOiT/QymoQig8 Aqs/e+yIywscQSiEgiqwwjHAZ7r3u79n1Fzkw6HLO+x8BKMH+78nvMLHElvkBUHlN8Q3CREAga4v vMVfvB8Bkg7wATEcpzB8PMiHvMiPPMmXvMmTPDFwAwg807ZjvMu//HIJAw8EwAvUvM3fPM7nvM7v PM/3/AvUgAbMAcHDPNEXvfrIAyggQh0sPdM3vdM/PdRHvdRPvRvYQQYowdAbvdZvfTVFvNcPCSLg T8tzPdmXPcV8PdqfBpiZPdu3PZlmvdvHvdzPPd3Xvd3fPd7nvd7vPd/3vd//PeAHvuAPPuEXvuEf PuInvuIvPuM3vuM/PuRHvuRPPuVX/77lXz7mZ77mbz7nd77nfz7oh77ojz7pl77pnz7qp77qrz7r t77rvz7sx77sz36Zpr3t3z7u577u7z7v977v/z7wB7/wDz/xF7/xHz/yJ7/yLz/zN7/zPz/0R7/0 Tz/1V7/1Xz/2Z7/2bz/3d7/3fz/4h7/4jz/5l7/5nz/6p7/6rz/7t7/7vz/8x7/8zz/917/93z/+ 57/+7z//97///z9A/BM4kGBBgwcRJlS4kGFDhw8hRpQ4kWJFixcxZtS4kWNHjx9BhhQ5kmRJkydR plS5kmVLly9hxpQ5k2ZNmzdx5tS5k2dPnz+BBhU6lGhRo0eRJlW6lGlTp0+hRpU6lf9qVatXsWbV upVrV69fwYYVO5ZsWbNn0aZVu5ZtW7dv4caVO5duXbt38ebVu5dvX79/AQcWPJhwYcOHESdWvJhx Y8ePIUeWPJlyZcuXMWfWvJlzZ8+fQYcWPZp0adOnUadWvZp1a9evYceWPZt2bdu3cefWvZt3b9+/ gQcXPpx4cePHkSdXvpx5c+fPoUeXPp16devXsWfXvp17d+/fwYcXP558efPn0adXv559e/fv4ceX P59+ffv38efXv59/f///AQxQwAEJLNDAAxFMUMEFGWzQwQchjFDCCSms0MILMcxQww057NDDD0EM UcQRSSzRxBNRTFHFFVls0cUXYQQCKiAAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0012.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> =
The= switch is connected to pin 4
Whe= n you push it the input goes
tow= ards ground, in digital terms
it reads as 0
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0012_image080.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhKgHAAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAq Ab4AhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AAEIHEiwoMGDCBMqXMiwocOHECNKnMgQ m8WLGDNq3Mixo8ePIENmpEiypMmTKFOqdHix1UaXGmFmlImRZsuXOGPmnLkT28qfQIMKHTpRpNGj SJMeJcq0qdOnJZVKnUpVJNSrWLNercq1K1etYMOKPdnzpk6Lgoy2EmTTq8W22OC2Gku3rl2EXlsF uCKoL1+/fQX9vRKABeDAV/j+FYy4cd+vdyNLDut2L4srlzNj3qy5M2cWnkNvDgB5sunTTPOyOLp2 42OjLOAuRU27tkqzPM9mJK1bI1+ZrVpdyU08AEy5PW0rX160a6vYrAOv7Su7I3SqzLNrV1hZaXC2 aZMa/6+6vbx5AG6vuw2pXur598zLvt2YODHvuPLx98aNcW99/gC+Bd+AtVV1WWLtrefRgYRV5xGB EJpW1X33KfgRhe5FqKFdBrr0HExsWYiWYRdB96FSG6Y4VoD6FXcRacLdh9x+87XE13XjYVMhizSp 6KNWrGlkInSYOTiVcILBdJ16RmL045NQHSXIZeHp+OKVIiKJI0zGCQaaaKFBKSZTIGYGGmZnghbA moUZNqSHCXpFHV8WDSkYmwEEpqeehLEw5p9BHUfdnoOy0CZ1GFqUY035yYbkFVxGGlxiVXIU3FyA ZppSkxdRh9GbNWYZXIlKcqqRpqiaNCGWInqUaFKpxv/a3FSgsnbppUktaepIsvbKUqiM6tamoY2i VdixJAabW59qFnuRr9AuVNVaa8X50Xd7CbIXa4bhimK04BrUnVR5PjfcUTt+G+666DlnLUjCXbao SO8axS67zroILIsj5rnvvzoex+NL965r4Jf1WkptYLkOu6uTBYM74aXpgqStoRifa5RxwVUcUsQS U1WrWreOipSu6kLU6sosw6rdwK6yCtxOa/1VlocvcpkfrxG17PPPHW3XYVyxPZfstYStedm1X4aH MsD7zgr01D8LXdOUkOKHs2CQuoQhpFM2qe2oU1b60okBKxrXlCTi7NKUeaaFac9U192y1UQbqjTC w7L/mRmcZYaEWUtHd0RnnUrat/eXfSudmNR2R74e3m8TlrXb2LAdYqJoK9upv9hgJjdO1Oas9nd0 Yo71qHOrLPnrbuFNXG9vPhcivEWGrnFHryHuu8t0wy48di9ThaGaWcOrNXuVvooU5MNHb2/xtJZ6 5MNvddv5bMFL7/3H1M9YHMX5ehg2jfoxSyz6PUr0/fsgya4UmqBhzzVma2J/XfLPuw///xuRn/GS wrY2HW5jpXEdABdoEQFKZXshwd5GEga+7jHwfw4Un7JkJJ/zhS48GjTd7HgEvQt+z4FSoaBv1pQW D8JGgjxToAnhh0KjgEdbBNxLuZaGrkFlyIIz9F4N/+nFuKQUiW0qzAjjVpOyhwQRg+HLl/Ng9py1 xclIvLGWBkv4ROENkT3W8xTu6pMYI5XOd0kMoP+6KETqketKXrqdR8zVpm155D9qw0YaT7VGNkZP gGd0jUxqV62jlLFwGtGT9a4zHcPJkYt+jBzluFYYxTBGMWsq46t+M8JP3Wg4stmMxsbTLTVly5KY rKRwIBnJulEOTZkMjd6oREjh7OoxB5xgC53mkth4yVCc+YwB+8LKVk5NfpNyVO8wpEiS4awjtkte FmXSu5nI0Sd9NKbkvggSUInxWgVEpGsOCJ15cU+G2pRkFNnHqorNSFtIzGWLODLNfGETiOmkGjc/ 8v80oxzxRmb7iLbsM5Vi5pNl+3RVfTw2RzVx7WED5WQTf3VQuyWUd/VhIlKQ9J1cwRBi+KyozzK4 M5nJpzVoSV1+zDlPEmZTpFVzI1UgaDFgsoV/9PooTHfKUysR8JfyBAlDe0rUomZ0qBbL3VH2GMGS FvVn1cGcVFsKsBBWVYqMcedO2II5z+HGMoNj51PTmTQ8mTV/JuynSMqGNZyy5y8sHWtPOXpD6fSF hwx81TUNRxq2BTUjCNJZHuU61qV5C0Rvi2tlkNpNOI1od4YzjOjcOpO/WI+mIrEqVQk7Nb1MijB3 igth6qRRzVoVT5k9kGCtFK+AwsWhDHMUlUTI2M3/clZ6Jcvt4GyZJ7QaSm45Oqtwh0tc4SLsuHzz W+4+5MMI3s6MgPld0fz6Eip567bDKy5a9eIfYOZNUToVKmoXRt4bKg1ROVMMZbkyqsNRCG5+M5O8 9iYY7A5PL1zNbbxEW1aMxShzkFUQm6QkR1DVLEv1uex0RWfX6WTrule178oUGxeOpQW0ejyvHjXq 1QjnpkKa7Y9JPdzhll43uPx6S3glLOCAYiQxpDNWWq1XN7WyeIYUJhoqL8kYvC5wikBD8Y2fiN+P 4O+seivMin/GN7thTE0fNe2QvQK6Es+MxDDr5GZNSz4se3mzHDPZlp065Qm7uLBL9miZ2ZhjosJo /3lTjTOc54y52q75e1XmrNGOOyy+IffJfP5zn9u8UTLfObN2lLJYtaxoRsdYzpCms6S9JrBFH3pq A760psvMpjRv+tN+HCioF9joUQu1LaXOspWTkypTtyrPT4yVq0VEaCiiatYKKrIfZb1qXIsEdKn+ crDjwmtfU/nMFyy2sblSaxq2etnshXUQZT1saEt72Nhm9a2hzWxkM1DZ3CaXp1357HA/UNozBHe2 La3qdv9LOBbJWtd+G287fhAtqN4JsO3p7jGzD9zmRgq6TQjwgG9s3Post8GXOvBkt3rdjma3sPk9 8f3Q+8WbCc6BzgzxXjdQ4QuHl6FsorTChA5j7/8reMihGdEXtRBGDUo5yFcOr05nGOUZDrAXQd7x fve84hEP+nP24rWkaQyePqf4llVO85h8SSblylbRGq7ObTf9QvL0FzyRhfAEZurq3bwMTViXW5nf +uf+DrrH1550iWvL3nNUeseZDnYlril7LqHTlJxt9brzDpgdG0+2TG52Tfn970R/y9gyDPc29j3t bAd65CHfdrXvpsoeIiVaLS95lz7+8BexXKU8u2HQ2NrwoHeN0j5XdMvxHfWpVz0TSb+00Rb+62jP vdx3L/GTF+0mM9O9pel++MZPe+axT9uukZ96XbNR3bznPOU7T/3pt2jfvRf+TIjvd6ovkPt1b/b/ 7QGV/Jl4H4DUjv7ktb9+9bs8++4nMfjBfv7Tf738/ZHgVEPV9RjeH/8V5m3VdV5rQRq1Z2cFxXPx Z33sV3ntpyggdFIWllFHpGQM2Cjzd3X1hxHw9HKolTkI+EOwB4Di1ym/dWQWuHgrk4E053yZ9RaW oTcgEoIT9ScXCH84KH0htoDXlhN6gT8ZlyMx94Czw4JPNR0gVE3HtlFfMhx0kjxVhFDMJ2GgMVBv Z3wTE0lGWFRLs3Ubth4uGB2EImZS+HgNWH0dB0z1812WglUTtxk8NnIO6HkjOGWzlHklGBIb6Bsc JmMjNYX2JXYcsz4C9lFhxYE0KIL/x2lOaBhs/6JzR7KHKhYjuhVm/fcsDxd9V9Yim9iJuOGJ2fcY C2MzRNgfrqViwJdk2rV5WiNHpbaFlnImZDSLtFiLtniLePREA0d6M1GJ+oVqeahGn3duy8Moz5Ri vxZe1bQ7KFUZsmU23xRvfbg25heMfDSMSiFOx1gTrKM1ZBd83Rg6ifhiMlJla1gZyNY7Q5dJiAhY GFMlSTONwFOHjbZ3+CaOiRd6eIJExIWPZrUatnNudtQnxiKJ10JhvXMmQ6cxQwiCw3FxMSKPbRgT sCgkVUJ0SWM2fRIveCIcoJU0MTJ4jnhhRfOLJlmAu3UsaWEmk3iSLjl0Jalb+WV6ENgSNIFX9f9z KdqjX9ODjazBG9x1Xuf4FmhSVnfHF7wxUHoDKUszHvhVH/cTlVAJlWmRJ8QCWl3SXZQylVvZleql GLPklVLpenUCiTpSJRE1ll8JYxVUh1IBYyjJNTjEgUiJJ6PFlIqDj2zhH6QkkTbEQhVGeHrjHFhI H+OBdCNCjhTjlxmhhEGTidm3Py2UiyPSVrY0HJN5IABWiyCIbYToXRVmIkrHUq8FTPvWkOu4ehNJ lJBXkb5hllGpID42Fdc0ep62gWCDSLZnI1bJmBwogJjok+JBKI1hScR5nMipJ9b4Os1mloe4Qpll lv5HfnmRnNZ5ncl5iSsThoaTd8EEhJ7RiGv/BZwfZ4ZKd1/5cjvgERcgEn3YZ4Iaw0Tq04R9ppC2 pxezaSOM5poilTSKkkk4JGpdMXAIE28wFFYxAomOyRH8WVEE6VmgJS++iS6hdHG+1IweAR67+YUv IZ0g5ZYsNlqD11uER5hnJqD4eHGW8o9oEVquQZ73ZHhneIM6mB+DY3SKczTDplhs4jRE4i9wkTts Yyw0OROE2BsNWlE49INbZzS3ySBTqVxo8n4vUWWih6O0KINtuYhDZnvIsngGCU3lRV7f8YMlYpZW eqFlCh7UMphbSp1lhlIeOSkGajfwRIm84y8bamRJBH05WIpz+GVB8qf8gTHGBxx90oMvYTZy/5Gk yZckgvNXvAOjjgqACGYVgGipr7OgwiijCzijoPqp2TOqaHJh4cGW+lFftrRn/AFvW5SpmiqOeddb 8nIxFRZggGcchqpznHqNIBqriFiVvVWWV2l8RjeSmXNFHhqcvwqsRIqfr3EjOkR0ipSovRUpiUSp CkioaCiq3Eqjn7OPTMSXj2iUCHIxi8ed8GZlldp0F3MoUVdAmzEfvbQaI1eFRfqbmCqcwLp1OHSH DJKfKVmArFgTy1qezeqsJrOwArONn5hivTqdNhiq30qxNYo+g9pU+vmq/OqsVBOxH8qlHgs0NXM/ onQ/DAqrI0trhlpHLQsXfnqxMguo3Vqx3mWqZazRrisbUx27sxZSsjvmbTrrs+NpV9Hoq7h3szQL roHKtDUrfRkboyJLtFB1K29qg1RLNR4JlfGjslkLOzG7tBYrtkrbtLk3tF+rIGibtrHjtWxrUZA5 s2Zbtk9LtjY7fKkSEAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0014.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> <= /td> <= /td> =
l The= board has 8 LEDs
l It = has only 4 input output pins available
to = drive them
l Thi= s would imply that it could drive only 4
LEDs= ,
l How= does it manage it ?
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0014_image081.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhjwAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACG ABQAgAAAADNmZgL/jA2pe+2hXlu0Vmcpznfy/22Z9ETkAY5euKZiCidvdyJybcc3Cs4sr4P5GDjI rhY8GpPMZq9Fy6mApSdigzkBRFlPF2hCITlDIrislKTF3gm2PV7HLUWzFL3FySFvNq8fV4RXF3YH ZXcFaPjnBmdTpcg3F0WCaDmVo6ix0pjpuKS5t0SnVziKxvW5SeUHqqoEK/ojRXp2aIqbB6a7qHuZ yshaSXZ7yUtrHJq745sL/MgpKBxIiJn4uny8CD1tVCIdXaqtNjs8cw6l/Ay+PjyOJ8pNi76rHs7e Tv6OPHscm81slTxX9VqNcoIwocKFOuAxfAgxIiJ9EitalFjtosaNBAndFQAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0015.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
= <= /td>
Eac= h LED is switched on by activating one pin high and another pin low
If pin 2 =3D 5v= and pin 3 =3D 0 v, then LED D1 will light
if = pin 2 =3D 0v  and pin 3 =3D 5v then  LED D0 will light etc
technique used = for controlling indicator lights on stereos, dashboards etc
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0015_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdh2wFkAXcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAA2wFkAYf///8x Y2OUjJQAAADOzs57e4SUnJzv7+9KSlLm5t5aUloxMTFCQkJzY1oIEBB7e3O9vb2lpaXFlEKMlEJC WhnFWs6tWlJSWs5SWoSMWs6tWhkZWs4ZWoS1rbUZGaUZ72NK3uZSzmMI3uYZzmPOlGuEWqUQWjql lGsQWhAhKSkZEBBCGRDOlJzFWu/OWlJSWu9SWqWMWu/OWhkZWu8ZWqVzWjGU76XW3tY6MTEhIRnv ve8IAAjF7xCM7xDFxRCMxRBSjBAZjBBza3MQWlq1lBB7lBBrjKUpjKXvWlrvWhDvGVrvGRDvnFrv nBBKjKUIjKXFpebF5rUQKVLFjL3FhObF5pQQCFLF73PF7zHvOuaM7zGM73PvOq3FxTGMxTHFxXOM xXNSjDEZjDHOWoRrjOZSjHMpjOaEEFJS7xDFKYTFKc6tKVJSKc5SKYStzuYZjHOEEBkZ7xCMKYSM Kc6tKRkZKc4ZKYTvEObvEK3v3lrvY+ZSrRDv3hAZrRDvY63F71KM71LFxVKMxVKtWoRKjOZSjFII jOZjEFJSzhDFCITFCM6tCFJSCM5SCISMzuYZjFJjEBkZzhCMCISMCM6tCBkZCM4ZCIRaY1rvWnvv WjFrraUpraVr76Up76XvGXvvGTHvnHvvnDFK76UI76VKraUIraVrzqUpzqVKzqUIzqWMhIzWlBCc lBBCKVJ7nGuUpe+UpcWEWhCUzrWEUnvv3qXvraVCCFKUhO+UhMVjWhCUzpRr7+ZS73Pv3nvvhObO WqVrreZSrXNSrTEpreaEMVJS7zEp7+bFKaXFKe/OKVJSKe9SKaXv3jGt7+YZrTEZrXOEMRkZ7zGM KaWMKe/OKRkZKe/vhK1rzuZS71KtWqVKreZSrVIIreZjMVJSzjEpzubFCKXFCO/OCFJSCO9SCKWM 7+YZrVJjMRkZzjGMCKWMCO/OCBkZCO/FxZzv3sXvrcVCEDEQEDHO7+/Oxe9CY0IIKRCtjJxCY1rv /zrv/72EhJwxSloxa1Ixa2Pv//8xY2sI/wABCBxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtKnT p1CjSp1KtarVq1izat3KtavXr2DDih1LtqzZs2jTql3Ltq3bt3Djyp1Lt67du3jz6t3Lt6/fv4AD Cx5MuLDhw4gTK17MuLHjx5AjS55MubLly5gza97MubPnz6BDix5NurTp06hTq17NurXr17Bjy55N u7bt27hz697Nu7fv38CDCx9OvLjx48iTK1/OvLnz59CjS59Ovbr169iza9/Ovbv37+DDi/8fT768 +fPo06tfz769+/fw48ufT/9pgPv3CeLPf3M//5H+CRQgRgP+FMBDBZ6XYIIy+YdfSQMySJGEOO2H oIXpLYghTQ7+J1KEG1pEoU0jHlRieAH804+HKa54YE0B9KPiiya16CFHNtKo04n03fcPiyne2KGJ DkLEoH8/3mhQhzoqZKGP/ElY4pNBNjnkQgGCqKSAV+4n44NcPsjkgUeGaN19LuoXI5BXDjRmQ2+G OWOaCI25pZod0qlhm2E6SGefPNpJZplvopkjjYViWCCP0Pm4opozuqkomAAIiqWdXH754491Ctpk QX6qmCSgksYJaIyi/mdpQp622WqQX37/WWqRi1JJaXYzepgromt+SqpAu7Lq6IG70jinr5JGKpCM fy6J6qOb6pmrnNC6GOWzxOb4KwDB1snsi9+COy2XygIQroCpgirqo26Ou6yYt2Y3LKTsVlplvGhy Su6d9q74T7t/3odBvQ7hN2qnPkp6j4z7vmjov+jqabDCDPerr70HE7kpuexCiayc1+KbL6hVQoqf rNyhyuKXOq5ba7GGfuxxsqr20yzC+7m7pLuo6htzwzQ7zLOMPh96Mr8/V8pyxEI6OO59ZQi5tJo3 rzl1yobiSTDGLF/btcoXOxtwr7N+fKq9qApb7rxcO0x2pteubWOmSeYbpNodj4x2xWef/wwxoVuz TTPKKfvrdcYF/WgtsIsbebXKvN5MMqWQs9q40mB2W7mAU/eKaOPdPqR5549vuDmDM4bN7eUWW2s2 dffm3M89Tqbbttvxas2plxC37aTKfW5Nb35Q5q2s34PqPeygirv9tJnDh5n3mpKi7KXzTZfLMa93 e97dPwvnmrqOGLo+0PhFKpQq7+Sz7myx46tv+NE+X70+8gSh/7Cx85/ovdIHi9/2DGY4kIHpf24q XZo2xa/qVOlL4XOW7KzkudwRyUVX296l7iWjCOLNUc2THvl6lcHbpYpgMYMeyY43N9+Vqm4d5FsF nRe4rKHtYgjkjs0wkDacWTBqLoPI+v8OErqEHGtZiEPI0sIXpRKuLokEWWIJgfgthnRrdPE6orkQ 57IXqegeUhsV6txXnzKa8YxoTKMa18jGNrrxjXCMoxznSMc62vGOeMyjHvfIxz768Y+ADKQgB0nI QhrykIhMpCIXychGOvKRkIykJCdJyUpa8pKYzKQmN8nJTnryk6AMpShHScpSmvKUqEylKlfJyla6 8pWwjKUsZ0lLmxxAILcEQC53icte6tKXvPylMINJTGAac5jHLCYyl6nMZibzmcyEpjOjSc1pWlOa x6wlZXKpzW46BQIKCOcDBHKJcCqAm96UyzUHoooBCEAVKrgEAAZwCQOoYp34xGY19Zn/z336s58A 5adA/5nNOqoiBwnwRwFUcIABKOABEUhOMNuYSwMMIAUDUIEBAHAJATxABQRIZ2EOCgAG7OAACcgl AhYg0rlMsyAkvUE8LgFPCAhhAB0QiD8GGlCC+rSnQOWpUH861JfGcacCuYEQBNIBlgohBwvYKHIm ekekEgSdLbVLLglwA4KE1KtZBQwBBrBUgURgAFIFwAMGoIqw+gUBDhjAV3OgAobqcgADYGlHCKAA Bnx1JAdQAAK6apMb9BUCJeErAhDbRwY49rGQdSwCECDXBTBArTu4gQPG2c6zRrSvkQ2taEWrABXg NQcIGK1qVztaBeTgtKllrWxnS1vJ/y4ArzsAbW1369jSnla3vB2tfAxAXOLaw7jIFQBib8DWAegD ABaFgArGKQQVQGAAD0CuAY67Xe1y97vaNcBrVdHd8oLXvN5NL3oN8IDXXuK4542veuW7XvrKt50a La5958vf8hogAq8tQHH7u9/yyvESeR3IbXOw0wOY9rIbeYBeSyLdnERgnCZRRQogOVbGAoAAKsip QCxKWI2oYsIkgYADcnKDtmYYxY38a1Kv6mGNSPgkHdhBTgiA4ZI8YMMivbFJrsviApzkxJCEQC4h EIEIhNQfTZaxjWEskg6oYMc9JsmPH4ngkN4gB47d6EcVMIAaZ6QAVA7Jda1aEwIY+f/Ff1znDW4b 0ps6tqvxaHKCOSLkknRgxTodiFUHLehCB/rQACA0ohU9EB6fZMsG4aakBzJpX8qxzACIAAMicIkc CCAHFkXwLYNq1T4bOpdIRbUvU31oVQPAylidCQFcTOmiQhMASHbkAXbg5a+iNQc5gOiV+QzjWGP1 2AWJNVMHcFVbE5WaAnG0SSBda4soW40NRexHO0DmwFo3nh1B80lUjOUjp7nZPp3POnWpgK7eILbj hEBq5YkRdFL7I+jsALNx4uZqb4TQ90a3JXtaEHH7e6KVFqbClS3da8NE2ugOqqVxjWKHt9LUI4kA oJ0tcarO+tFAzojF8zhyiGDcI9z/JDK/szySXCtk3fSBeUgSLpCTV7qfCh+IlQ9S8sS+OdEaoapa c8DznvOckDL3t8EpPIBRDwQCKWD5SbgJcWhPXJkJcflAxBvRQfbc6BExtbENIIASH0C5Etk5QW5g 2pAegAD2/Ootucrxgkab1gi5wTs9HAFVlPghAR/rSXV5AHsStsXEFXHO5ThRNgv8IcoOuEE6zYBg f9i09bAHQoT+Z6qDmQAHeMBDVcAAFSgg2qQnSdUPwoAFYDSi7QS2mY/OTqI/3aEHuMElhNDeyo/z 3WS2/ePfY9SEGNshNG+0Pkq7AwaoosbcXMA4BVBZBsw55Ba/gQEuUY+8qiKiKTg9/wDIzF6WXnej pQ+5RyBw4gWoAAGqkPJAMH0JFcgDAfJcAAIibpBZl9YBCxB/IPZmtwUBDLBU0SUQpSV/cFRyDod1 ClF/CyAECrAABVB6C/B3AjGBG+haF7ZnwwcABQBVDxVspaUAG+UPpYVYBtdeuiReH5EA8MQAD0CB D8AAA4AATjcQKtB1KiAAo8ZWDSGBFGiB9KACORBRB7AAORBSDEBvC3B6zAVuizdwSwhqApFr19WE BSF9AoEAffV+QnBulFZ/jAViSUVXBNBpCVBzelWBIyZ8z1ZMXzYAXYcAZRUBC5CBBdGDAlEPAgAA CQBmL6dLOJACUiUAemWAKXADrf83EAeogPSQVE9Fe9jGf1WYfJtnaaqwA03WZPSQhE32APEAYwxw emMVARh1APoghwdxU8QlAAYAi+Q1giDFTRJ2APJghwIhAPHgEQgwU5+YAgzwXxFgUa6oUZkmVwQA VW3obwTRWbIoAKFoAPrAXgPgVwRxih9mh5sGXcM2cfEBgR2hidFmh9eFV+qIV9bHiwOBACrAhJdl APFoefw3VgYAfJewA3lVTpcgXVlWAM0HVRW1Ah2hbwRAfeuojgqAjwQBbO43Tq+1h/QmcMylCqpg TuxYTijojgpYj5flWgsQD1K3RujkgIXoEAslEDi4kJjmWgSRAAQwk41GkwoBATr/RmndJ34jlpO4 NJOgR2kaiBGpJRCv5ZJdtVLcJJM2CZQz6XDw5FV4lWUwORBM+VdA6Uc0J3QPoQplxVwL6WLXxYAR EYAEQWZ41XWvFo7I52xfSG9nFZbnOJQLEWsaRmm3hVdpNZYE4XjGR0dgJ47LpHDvNBBrpY4o5pGQ 14e0dpjq+FX+cAmBqRFgCInrqIO4NAB0GRGfNhBoiVd2JRDK+JeGBo3ugXXmGBE9R21L+JhbpwKb CRGdllQuCWEQIHx+GRL4NxB1mFHcZA9NhxF3+Wq1GW05EJuFdJIYYQAO0IZzh1fnlEuWRRDyoArZ dUsGUAAPcJ3WKX9jpYAuiVYA/1BdXqWdfgcAEKCdD3BPnDZ7EjGbB3BLcfkA8bmB+8eb2tl1EPAA 2tkBoQdRWGVRt3SUCzlOl6B+rNgABiCf6rmg3XlHKGma1YZVKiAEAJYCebmHOaAA7SRjCJADl7CH 0vWEKEh5VMibpjdWKhBXA7ADD+aQA9EAOyBhC6BpOSAEl/AA+mB6pEdwd2VPUIVRecWE24lpAwFV 1bUABBAP+KcAmraHOZhsIKpxLopXcfV+Y/Vz41cP7bUAI1pOBtAAYHailziYVxdpm7h5xIVWoKdU KZAAN3AD+laRG9gA3agAKaCWNEicamlW2IVShQeb8nAA7QRjN3YAYLabbtiNJf+JfB/YbjewhOcU qRbFkwIRDxvFdvX3VyGGnuJJENd1CZEqD08VnzcAYq7IjcxVgWkVifrWp/BBjhtRcgimfc3XVaoA YfuoAI4HjylAV00VjyHFgSVlqX56CSGFhmeXVxpYAHkVD5pZWoioVnpFUhSxVqGHexw1TgkgkFIH bDmQAikgXWDWVVgIADmAd1mYjV2liLp0U/dJEPAIbDsQrF5aUvTGjSSXbBRxAw3gTuh5CR96CZXn fimgriz5jwNgZBoVqQCgqH11EHzVfKVVedMVaxI2Vvt3iiglgm+ofg8BTpg2i0wYhU8Yj2lFEBp1 VohVZoSVZ0ZZkh1QeQQLVfH/kK6s15ALCwANe0sQC2FxxJVpiqbDZFraBrBn534WmhBeWF0OFgHx eQCn6A9rBatrd4NkFZSvyFLU54jyFJ8/dgAsi4l0iFeI1W0AcAMFUIFC4J4CgVPjlwM4CXrx+YOB pZkJcQN6WKFWq2Dj9AA7cJsbFZ8c204pm0ZCy6+mmbgEoKQcpa1ZSIYsOU4HkAIXGK7BJoEkyRBo qBAXmLDV9avwF4/xJA8S4YgLioN412ILcQldlwNohrkehbmHexDDmRB4KBApILCYm6MqkALTVR+y OhIN9rjqKnnJtkummgDMu7wG4ZcaV5eSlntwmlLy4LAWcVuH+3HIt7wOG6eK/2sQWnd1yku9KYVS 2AuhZ1oRbFe7SyehExFrOJmbudmWgnkQ0jV7N1CS9XsR1pqcEwoRQie0kSe5IqdzbAl0KFdQ9dl/ Wgq/qmkQJ7dIx1eXQ5sQEwwSKoZsVZgSq3cRFne7AazA9hur9ysRk9kQNnfCHczCEZDA1gbBEdFv OsXBMbyoFJHCp2l3KvG+C5GbD1gQG9wQiUuaOVfEH5ymX2e7cqhsRdzCJvlhlwCmhumkmAhtECh2 RMsQDodUL3xwEJyaKFwQ3BuClsjCA+HDRNxH7aSdOyBPCBB1sPkRGbzFaIwQa1aOJ2x0SXzHLIxV IjzCFizD6fHEtZeFDOVQ+v8AtXQMsn+cklSldvVmxHZsaTTcwoHpcHU8yG4EgQawA0fZVgMQrnNs iTZ8bWpsxmecEEM8wjdHyKscgn2sxIuZhU08tNemw/NxUJXLUg01oPE6ckFMEFpcyw/xxbNKyD13 ybBcyRhswJxsRzEFovP0ADCqyiYHzWZawg0HwmscEfv7zeF7xezkyDwczTucidUGTma1YQe1oSkZ ywo3wcJMtggRvdCYcJkMycfEzMppzM/szOfMRim8zxcsEKnczPEsEPObw+FrcXzcqAtdl1YVyMZs yGfUwOKYmjbcwvc2mYEpyQotwCMdbQ+80Qe9EJvsx3TEdj12XWo5VgibEeP/KxIqdxPhnGGuiEho SVivJXw4CLQbkQAYehKlpcskwbpwJs9vJFhODYZQ7dRk1lSXpQ+BG7xn1U6IVU4IQA/h1NXmFNZi PdbhdJQkOtZg+NRqndZhDdZSndYhelpcLdVfvdZ2HdV4TddkndZuzdZ9tbttLdZs3ddeXdd5HdXh dKDx1NaFvdd1/dbmJNTusZ3WuZ6UfdkQ1Y3S1Vb6IFfg5lpjRVPaWQDWqZ7bedqnbdr8yZ+5ilc0 hdrbqZ7qWdm0ndqWXQDmidkZCZ25bdm6/du+XdvBDdumPdv82dukTbCVvdqoLdvrOdqlDdzCbZ6l N9qU3du2XdvWXdmkLUe//7pvAHBb8XBL8vBgHLFSj7ZxtjTTIGEA2jxIZ/Vz58dOcsURNa1mMCxr Eu0RyKtIUna4tXsRK80RN20TZUwS901LA74RIt1m+90R/Y1IWnsDEEB3HwYByEkRCf0ReYzTJx0S CV4R/VvIyLdWXgZmCxCIa1V6ZFkRC04Rjvdn5TZt5rxopdmXaIRUOt5qrxVSazVZ5moA17UAXVya ilZq723kSo7H4G3jTk7CjBblS07CzNxySb7KGH0eL9VQIeXeqrBS4qVvdSXI+iyhGFd8xtzgNFF1 /oBqbl7DcJ5oby7nBRFwZc7ScRS4gkhYO/BpICqgHLHh8XznQhyclkboiP8OxocOjVUuEiGOzkgN HmDH5RzVhGeVAJXXjHTq0IZ55Rch4ytHErkU4QD9RtHnZa23APJkgJY1zGhOrf5WvzqcS7DGb3j3 ynMovlT26mWa5QzR5hixyQN8a7Gmb4rbcUMlTLPM3zWOziVNfDCRypHOyk3eZh8OEo8uznOE1JNu aS+eEZI87R6xeuJOcx+NyykN7Xhe6v50EGeO7vwsTNcl7smMn6SJcwhx375OUdic7haRwRFqyB1Q D/1HU1hedx287P82dJwu0DHn7/U8EYKuSx3AyGsnEQVulB4JAfZQYhUfARmOEZcsxvaLTtkuyP3e Hks8zkz90BNH6uNZD0z/mFb1F/IFYey8CVWIZQAoWI9/a3oM4LbeHG0PXsIKYefNTO/lofTjbMhy egnxsAP/9VfoxIEmvoz1vcW7tJ8YBVHDqlf/ap0sxbKOFQFCvxGNbs/bDMUnH8FlasfDKxFdWrAE q1Gx5oXo+gAJ8MYgpcp+XnnB9loPEIgcpYw3qICnWA8niOwJz3JMj1UwX8EsLx//jPJcPPnhqwAz WnMQhowaSKxf7VwqUAAZvla8enlmdbMi2PewDl3H2YaArsd3F3QM8b8LV8lML7wNb5fYBQG+71q+ v59RShBeyFzbN1l51eJqhVYfXwAOEAEdsJ/vh+EDMYaJFk/52I25338l/xmhZ4yLnt7ylI9vEJEA bKVv4elXigmPDFDUA6HnI3wDM+qIp2haOUAPp5jVBOH8rXdlAvmhALEAwECCBQ0eRAjggEECDwgu PAhRYsSEB1WlqFgQYsaHHD1+BBlS5EiSJU2eRJny5EaNA1kqRDgRJsWEGwsMGLhgwE6eAyIAYMCg 4I0OHSKwhNDxIAScD1UMUFBQlYqhECJ0uDHQKgSWMr26BDsTIYECKltWfCDwrFilL82+hRtX7ly6 de26ZRtyo1tVlwYS6LlTKIAOAwioZOCQoBCeSbU6sDuyocG9HPGuHagqR8rKkT1/Bh1aNNzLMUGW rijAMYBLPXNkHehTpf8KAwRV9XQA+4AC1JTfygRAQJXeir0HFlA7Wvly5s2dr1Q6WlVUl093ro6w AzZKBZsByLPOc/CNFP6eE5xsmWZxhJppfo3u+/x8+vXPGw8rH3jbsHgfDNjIgJ2oG+iS5MDa6wAF FVrQIKYGUiCwnX56YIf1GFxQQfg2zG+ssvg7DbP80uLMPhNPRLG+l/DLK6ONbhjgAXkiiAACBmLc KoJ4FBsoraAWIMBABhZ4QJUFhnRoI/AuISC8nhaAcTiCDDhygQU6QG5IVSKoksCS8EovxJMuErEm 01JEM001oeuQvbkiuK0DAuZUIAUCICAgggEQMOhIqwa4JIcHIIDghqD/hBtgtYEEGECVG+acas4b mMKooAZy+JMBBBgg9IAHVMhzAAFUYoksN1tcUb6CSFyzVVdfbbW0rhwc4IZPVVlIALW4ZCABgxhQ QYEFduDyyMMY8AuABbwcSMAHsiIAMgAiyCGHw6TaISjDLlEBgZ+Q67EeucJkccxK9etP1fhgZbfd 5y7rDK/90uXohpscIkBYQenJQYBqhUAI2duGU0EVArJKDEJ6EIqAgXgu+Y/KYN0SIoXChmNAgYMB YNVAEDmsSLgLL3TrJfN6PFCkct1luWXl8Fv5TIJyGKA2AYeLoM5gcU2ISAC6A4A2gjIGQMBRE4LA wAES284gIYT67wBk/wkqYDOmfmJzozDNbLHM9rxrc6SYXSa77C9BuwwCTKdd2qaUD0oYPCNzsPIB BVQANtmMIKAqowcGW+ASbnFY9jZgeRv3Q5JQ2w9clUc2O3LJ78pv1srDPnOh2/RGuV5fv7vhUUnl ubPpriGQtqIEdLvhgDvnJH1ONsfiET7iMnIvc8xlnrx339Vb16xUEYryIFYHMm9skDro2+vbQTbO VDFPOn53dX/HPvu5xsbvWoMcBw31y04ODThbPRp+3svInF157d931/KZSqb3o3Krv/5xtiJovmvn pKeLTPAnM/fBz4AHFNPwzlKaARaQI+IDnojmZb+Q8Wh+1uNaQtjXIf/lORCBH7xP/s4zQPRlMCH8 452LuAYyjgBQhXnpjN/e5r/oeBCEN1xTZwzwACH8pAMPKMADBGC6sDHueweiH6o4uC4I7OBkMKsL cLZ2uxdKBWwvNCIOtcguFglIcCrQR84ucSOsmeQyJKTh4gbCPDfJ6zMiCw34tjjHG8bQij1qCgCE kAP5lQkv5EOjCUkCweBh8IKGnOBfakcv4/RxIxuMj6yWSEdKmqiRUxrAAqqlmAMUTJAYZAn+WOTI E/bvbMBLpIeo2DXUVI98p6pkLCfnrxvk4FyM6Y37CnAu3Z1SKypwYy+3hx4LUtB5X4NlLmW5TPvE 8CUPsNoOhgOYMqb/cYGYC6QJ+8iw1H3yVKMMngvj4pbq2ZCZ51wTAfhUNHFBUoQrI6E5l5LHVPpy bFtjYRUNcjJ3Qq5+hkRnQH8jto40qIQHJUg510NKEBGEjQeNF0FBAkf92REz8XyPQDXaTEZuk6Em kaNnCJlCiBrTjlPUZwTvONCNtjSK9cqBlIKjgtU0qZqobJPbvDlJzEHkQf5MaUNFcgOZshQhCqWi PF261JEwBkADuRHYIjSYcRJONEIAJpoEJ5p+XpOpX33jwcQq1keFTk8GyEFUBMQ/fbDtP0npAJ4k NSe6ltWuYyVr6CIEKNHNtax09etfQ0dWvP71NjHq68EEC1jG+nWs/6JbLGMhC9i+RkiaiW3sYzPr 2MmSNVBAtWZowTpagwjAAAJA7WlRu1oBPKA2TJHaZm5zgLQCBUqAMoBqT7tb1vaWt6jdkk6gwlvV sva3vUXuan+b270yYLen1YdvTTvd3FI3tdedrnSza9zsMndA1SWudXVr2udu17rdVUVtzAhQ0rY3 JXgzjEJSoAIVyAMAN9iBCmZ4EiuJhltpKpJztllI0brXwCEREIH+I9ObKEolRhJNk8BazwNXOC6O egjPXFJUs2RTLvx7JTqVamEDj5guIY3MT0UsUYuS2MURlMfqICLjuXg4Lg+VpSS9+mIeZ7RNAroW 4VLgEAPo11qqcv/mPzm237UkecePueTlpCwaJ9OwxbDscZZJQoAdxJdaCsCaCoTAFKq+RZSzK2WT SVVDNjPSzRUtqf4KrGWBJpEyO0jKVBAQDwbwr0kO2AGFQ4LiY5ZkpFqEIp0VvcpOHmbGYnZAUPTk Y/VFB6NeTWUMUdjGNwv6pYdcWblsJ8JFM5VxieLYJUI3gA7kAJgpKPP0jpocBxrn0OyNM/QIvN4p L7TQvy51QOEVkdcAQG0L6BZhUkA3E9tYogxrnjyVms81VzvYBx52TV4ZYlxjhtBiyaIJm8htWF3Z qNdGtznTx1PjpUzH7sPxxzrdbV+jRNeTTOSoD4lufvM6eM7+ZIv/YUs5UFvP3JYkab+ZeWVP0/uF gQTnC+NtQxNj2uGgnbPCSZxt0B68InJU5klufQBVcFiNcPZ3FkO9a40HW8ff3N2lUZ6XwhxEJzfl tJxXaG/2NrzgGW85opXM82cfh8mLoocC+twjpSelXHzTCANyUJsIPCACCMC6Q1ShKQVcIsoTXDma ZV30oLsUOHZ+uV7uRDfZJYRuAmjNAQRkgK6H5E702MGdBpKCBWhuAEL4VGsT1QFVMMqUFzemxTOe 9n2Xnd+M93FeCKATZO8A2X1eCEsSBoAcKE1wDl5LByhPM00uQAA8u41DHCesgSRAaFgmqc+raOeh I9TxLa047BPS/xoEJAVcN2gNA17is58JSwgGGJLXDNcBY1OF5JnkGKr1qBZ/9ah/iU44DFUU+dsL e+j55r5H9MQAxQYqdAn4D7MWALBOTqU29jh8qRpFVyAXSgAquEQEvMeqFESleP6kPaLTuQjqoO4z Nd2bHpbArwLQk53oMp7oPemDqnjQl6LZgTphFsoIHALgO2QbALo5krVaFUC5EV/5LNtDQAmitO9j txTKPQNcMVLzCAHJCQmpGdsqCALYLdiAANNCDcDQCJrhnALonxvYrWvRuxRUuRb0jAJEPBjEHuwL KqE6C7vRCgmhDioxizopiJvYCe/pgG6Ks4CztrFznmCCwtHSt//GS8EfW4292okrUgGcw6mBUIGj ua8neQjEQRPIa0MsY7g0rKRpQ8FV0ZsbCI8yQkTvOQl6UItOCoxkuYGjQyg0ZEOWK6Lay6ns40RB VMMnNAhVsBD0EIxVoUSQ+Kn/kJA8y6Nzq0PMeEEZ9ETcyyDZW8MYYgoD6ICgQICdWBYGGDMxWxVN yRjg25TEQL6gwMOBgBF7kAcbzKQDiJGCaBheJLmgQJZq3Dx9MrGQC8CXA7tZXLgxHECGuDmjyJkc oBEaUYEdsC+CsJIOYJRAuYQOsAcCGJKqi68RtAdCgYACcIAaIZS7eSWs6gBnycca+ZQImDtgkzUF wjjtE0BMFMf/96G4lGCKIFkAx9i6v2CAFDAdqfsiKsGBS/AV4lsW4wnIhZCw++IWB3sAB7iECBGc zkuKjrkibuw1sgM6dKlIs8OilOK4tuAf/+uOqQuUhpkYuFGA/3CIHTGArECAZFE6hBAQQbmNq8Mb RuyRFBgYAMC6GgEAx4EmYfIlJlwlRNqdWPzJ+PlDn4RFnRgOplAFfxAAqcMbISCinBiO/lIB5ssJ h2CKZcxB5EuBpWGShHga1uCjbSQRwFAv0Qq3s7zEtwTFthzEy0QP/DO2D9yOXeKIwFEIUVkAWGOA 6eiWAeAlpDk8g2CVzrubTbmEm7ibQEu8nWpBUevEkHNIzIyc/3BMIJV6iKy4DT7snIzYmOCYE3Q8 GBqBgM/BHBUrCPJ5FJc4GOaclKvYSymUxUKMyJ5kS9+MlfCbvdNQARx4R6o5RbhAHSrzo2RSlwB0 wVcUz1IbsAMAvSWbzp4EiU1DHv4Mnph5Qe48pvCsz3Z5N1A0DoCjohC7td70Joi8HoiU0CfDzaA8 UO2RvZyzxI+AuIkcCP/0nw1FUJ3sxAyFn3UTO5FAMSdcjwc9OeCExUyc0fhcy6xB0ZZiSFVwDOGg QwKUoVxzOBHFRE+TT1c8paHM0RikRqi4m9pIK7ohogpts+NEvCMdN+GUi8kcMFKzRRZb0t85u4rI HazyB5/Qh/+nAtCEkLlyNAj/5M3y/DWP+04tNTgqDNMtCpCl0SS/GAD6qhUTtaZv20kq4puPqlKg 080iJc/uNNA8nRzN4BuMgKa1KyYBtbT1tNBEnZbW3FI7vU1OnMyTg9QUDR73CMN0zIlYO9FZw1MM 3ZsBIDdZVFFHjSRQ9U4bLdQ1LdUTaTj2SQs94bu8SzhPyyYSTYh4U8tW7c4/9EPL7NVY2ovtyIpJ SU6K5D5CncL8gVEmXNQpjFPLBD+We9RobQ4ZJdVObKALZSWCgNPyec8T7dL6cR9kNdfJOYAFiMxa Wo1WA8xw5QhtDS0Wkc5bzZ+I4lVo9aB5Ndh7fZ//yCqggD7/hYgH1aw4jwkNEHPYjVWJ0PHYj/XY A9ATzfCLwmjI/wCMpJAUkP3Y1WHZl7WVp3iWA4BZmoVZj3VZmHXZIouR1clZm70Bn23ZoGVZoHXZ o73ZpFXanL1ZpFXa83tZp2VanA3Zj+VYlik87touIGIbvvGLT+kkPjkSaTw+5TJb7DIv4xIuBCgu 80ov7BovuE0uA7CHvWLb3sra5BKA6Equwiuutt2uv9XawJVbtAXcsz1bwU3cuV1c1sra07pa+OnF iK2HeFCBah2AeOCj37gEk4uivijXyHXYs3LXRkkoCRTd1F0402Fd1XXd14Xd2DWqA0iABqFZ2cVd LfKznLCl/+FAKyvZy9xlVuFdDvxAxPjiG/1biLQCjHVimVklOHCr0iqj0ymrMuIVUyUDjMMgwtJU AOYhAJqJWOm13uk13/JFX/JVX+rVxCZs3+D8OXvFXvrYCDzDkBtwgE8ZEgEJXZfpX2idX0qSxsMA IpplNQfIgQQ4kgmbt/h9M/hdQ38LYPrdNX8AleZbNoHQEU0ynXvz4Ab+YAcWYdv5XzWzthKeYCTt qSeiXYKoXRQuNwoepwZO4VeRX+9L2BIpsIWtYYQjjTmq3hEG4QfG0ErrYXxl150T4iUO4SYeYgCO jBEL4iN+Fwmu4VGDYSqeUFCSNyCm0Sm2YnpV4rDT4io2Q4xp3bVEc+LKjDiALeM3huM4luM5puM6 tuM7xuM81mMDyuI99uM/BmQX6+NAJuRCNuRDRuREVuRQXeRGFjpHhuRIluRJpuRKBuRBtuRM1uRN /jRO9uRPBuVQrkhMFuVSNuVTRmVDJuVUZuVWduVX7kNYluVZpuVaJuRVtuVc1mUUweVd9uVfBuZg XuSAAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0015_image082.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhngCtAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACa AKoAhwAAAAAAABgYGAsLCxoaGhsbGwMDAx0dHQ4ODhEREQcHBwgICBAQEBcXFxYWFhkZGQkJCQUF BQwMDA0NDQ8PDwYGBhISEhwcHAICAhMTEwEBAQoKCh4eHhQUFBUVFR8fHwQEBCUlJTIyMi4uLiQk JCwsLDQ0ND09PTk5OT4+Pjg4ODc3Nz8/Py8vLyAgICMjIzY2NjU1NTo6Ojw8PCIiIioqKisrKykp KTExMSgoKDAwMDs7OyEhIScnJyYmJjMzMy0tLUBAQEJCQkZGRkFBQUpKSlJSUlZWVkRERFxcXElJ SUdHR0hISFBQUFtbW1lZWUVFRU1NTUtLS1FRUUNDQ19fX1dXV1RUVExMTFpaWl1dXU9PT05OTlNT U15eXlhYWHp6empqam9vb3t7e3x8fG5ubn5+fmNjY39/f2dnZ2FhYXJycmJiYnFxcXl5eXBwcGho aGVlZWBgYGxsbHZ2dnV1dXd3d2tra2RkZG1tbXR0dHh4eH19fWlpaXNzc4yMjIiIiJeXl5aWloKC goWFhZubm4mJiZqamo+Pj4eHh4qKipKSkpCQkJGRkZOTk5ycnISEhJSUlJmZmY6OjoaGhoODg5+f n4CAgJ2dnY2NjZWVlYGBgZ6enpiYmIuLi62trb+/v6WlpaOjo7u7u7GxsaGhoaampr29vaysrK+v r7q6uqioqKmpqaenp6SkpKKiore3t7Ozs76+vq6urqqqqrCwsLKysqurq7a2tqCgoLW1tbS0tLy8 vLm5ubi4uMfHx8bGxtzc3NnZ2cXFxcHBwczMzMTExN/f39fX19ra2t7e3s7OztHR0c/Pz8nJyc3N zcvLy9XV1cLCwt3d3dbW1tPT08rKytvb29DQ0MjIyMPDw9jY2NTU1MDAwNLS0v7+/uHh4fr6+vn5 +eDg4Pv7+/39/e3t7ePj4/z8/PHx8fX19enp6evr6+Xl5e7u7vPz8/f39+Li4vj4+Ojo6Obm5uTk 5Ozs7PT09Pb29vDw8PLy8u/v7+fn5+rq6v///wECAwj/AAEEGEiwoMGDCBMqXMiwocOHECNKDACg osWLGDNq3Mixo8ePIEOKHDlyIMmTKFOqXMnyo8mWMGPKnKnyJc2bOHPOtKmzp8+fHnkCHUq0p9Ci SJO2PKq0qdOQTDlOnEq1qlWENKNupPi0a9CbXKF6HbsVbEmyaC+GlbnWZdq3bWHG7Tj3rdO6K/Fq 1GsXKV+Uf9X2JRuYZOGKhwcbNSsysWKdjt02fuw18tfJlJ9apns2892snT0r3Sw1tGi/oDGfRr3T 9OqhpMuqfg07tVjaRGPvdY17cevZvX2zBR4csm3JxX3qznh4eXLkMZs/V3788nTjw29fz+ncovTt 3H+D/+wOnrl46OWzRyeeful56+3Xq0cfnyV5gezrp7z/Xb/7+fD5V9N7nAloH4GlGZgXgrIpuB+D uzn4IIAFSngSf/lZSN+A2mnIG4fjeXghhQmKmOGIHZq44YQhqpjigi+62OB/LV70zY045qjjjjz2 6OOPQAYpZJAB4EgjfUMmqeSSTDaZY5E3HhkgAE5WaeWVS0L5jZQVWoTll2CG+Y2WXJbopZhoppkk mQe+mKY//iwJZ5w8wqnmj2zCWOOZYv7yyZyABhooKH8AI6idhyYqqJVXEYSfm2L6A4gAgVRq6aWY VhpCACJkKkgggxCS6aiWCiJIKOB8WeaMFaHpTyADiP8g66y01irrCCSUYIKtJhBQgK3A1mpAIXRa uWqENkYKyAnhhCPOs9BGG2044wjyCTnlkPNsOOWEY8ghzkorLrTNmoBIsVUeax5GriYShKKKigJG MIIKo8go8CaKwrlYqotRXK4CkoKdQ/pjziJhkHIOOuikM4wYpaiDLpBwqsDIxE36Kxi7yg6M8Y/+ rEOMKY0wgsgfoZzCzsc+Vnxxv23u2WrHBCcJZzvFDGMMMMfUXLA/FrOspMbeZQQmoI6c4PPPi8rp zwqPAJpuzEh+iQokY5AhxBBL31mnPywMQcYYkKQytZ5VWwlnCy4QQcQLRXTttY5wChGC2wfAIHeQ RCP/ZvSVcYpwCJx/eDzn3DdKXXEkcDaywt5A9v1osmp/Y4IkcCpiuDvqIO7PO8gIA8+cKiwCJyMo QP6j5AA7nWOcJgQCp8D+oJNMGaqgIzTg4awSAwyiiOMynJOkHufhQ7Ke0e5fB2q57JKy4I8yRiDA yjfMV+nPMom0EokJyAzvT/H5wqs8RsWWD2c8zMgTaOyzS/+OJAm4orra7SgShhlnrAz0xeOTwZzW MYxmhEN9/jjfRcZRjuOp7xUzOAIlTjEPOMFAELPzGD1oUIr7aS8YaEhDGJAQD/E1YgTNMEUSlBAD GSxBCUyIoQxnyIQmOEGBFoGF7tBRiTP48IdA/GEN/waiABswQQ2oqAGxJKVBDnrQSf6IxRqWMQoU yEJ8jrjBLNhQEBS04YtgDOMXcbCc/liEFjt0wxHWyMY2spEEA1mADYLwhFDkIGpMXB8DBpc9KA7j DYiwBByKIT5GiEAYsaCEE4IABWeU7xlhwGFFoNFA7KnvETGIwiVAUQ84xQB6tPOHPeJwiic2yR/3 YMUbFBEKif2PeAKE0z2eEQ185MuSfZtLOHCEQGUsQ3iAgl8epca0prXsUEEbn/GcR7EEUq1LFukj 3ZxnAkzAKRNKc2CSskUOhjEMW0FSnD9koAk4OcJ4lpTmlp5pJj7ZDF1x0oEi3OEONEhPnZZMBi1Q Uf8LW8wiFbMYBz6/kQ4TUIKeg9DbQKPETlbNTG3+2EEDbnADB0jBlIl7lQAe4CuOEkAU6vSHOKYA gYlWVAgYzVEuNQImaNSCFbRwAhVSeqNkpKIUQYgAI9oQgD/gsxyqCIEcaMGKWjzjbCCaEpW+BKhF ZJNJcCrHHATgDmJIwBD4hNMMlkhTlTYUWZQ7WihPmQ46TEAQVaDAK7L6SpihDZoPBdycktbVOZ0C BwWogBwEqiQ4rYCrCzXSV9fFMSz9ghaicIUVkNDVcNxDHexwhBCsIA3s9dUfJ0iCK0RxC2cglUVK xRKcUCCAEpRAABdl6y8usYlKUCIRidjEM9hKhQf/mNYB98zYYP/FUsB9AweTeMc7BpHbdx6CBy8I QQ5u0AMahIKtKDCEcAmhUN2+tZ1xhaLl8PiHbBIzSPkAxzGCEYxpjGMc+mimoFRQTn+cs2ZCm9hK /zbN3R0OdqA0HPLC6Q9gcOIRtKCGB5EJQPIxs3l0mm9hM4pAqQkTEO+qBhxmMIl9ZO9zgqCBBAZg ARuEghzxDUYddhCEK1hDfAaGEzn24b5D2eOAc1Iw5eYkjmv8YRPmUJQqOlGMd1gQg5IawjwgsQlI xIAVqoMTKnwwhD844hI4QMKJf7QOVFQCCy3ABop34A93ZMMRWQBpotxghT/8Yh3kyCVDYGEHLShh /wIBmMId5kznOWvhtCx4ghheQQI8AgIJ9sCF8AhAiST7AwwWAMWcVnEBR9jXH/wggytanMxI3OAW c9BBBQIQAjzUuc4SGEgLzlAKybFUlrTYgg0oEAAMzGALsI41rKVAgABEoAdEmMQLrCmpbLpjEUUg hqHBkAF8+YMcs7gAv1omj1IkwR2kA2AkfCCKOjDBBA8gARRkHWsuaAACMYBCHnJhavrCSR/QEEQU 8NCzQ30jEESohDb6AbT8+kMfnZiDlpkHJ1E4oAnbKAc3lKACadh3HJmAHopjuY9fLMIWLRZUG+zw ixw787ojed2c4MGO0R0KHe7IRzBBiQR4LGIEiv8wBjuEZLBLmIAW4ujGDP4wOpDpIg7QAFQyU9zg GO/2I/U19OEeXARwRIEAya3FgO+xjXOIIx7CuAe/OzEEfgCKnOZc5n53RMxyL5huIKMT7PioiCCE ox7HuIYw0lHMe3TD6tnbRz3QkTh1xEC670hELK2b1JOI9hsi6ETmvLv1sMPJHWmoBL35ezxxXGED tj0tSgPr9bBC0R864MAJTuCCuLF1G65oBStecQUX6AEc+ERHLEjghFe8ogsi6Oo6MS4SMNniD5WA xBC4xlZJMMACGegAqxGAC7ZuFU7BmMVnAbOSo831qUuyBhr08IY37KESgOCG04JmyeWjKCUB8xj/ k84hYDiJw8IDHZ5b+06S8KfUnD/oRDr8oQo13MJ9l+X+lSrvTogSD/o/YwgBgACV4A7eEAQwoA1s tQIKF1gMRXshASbmEA/HMA578C5sRQkQMAIXQAj0UAsFsGw/IwNoMA7n0A7z4H2G0XxydQQ0EAIh 0AFY8H7+YAYuYAp2QANx4AQ7sAu05QEhQAM8IAeyx3/ZdUrfUAJhwAu8gAeTV3g9Aieb8AHQYA6I wAIyYAlSp14OtANLuAVA0AwOaIRLVTk/0EH+0AiEN2D+kA2ZYAzTAA2PUAjG4GNcaEkrMDh8sAWy N3vsl3FRyDLw9TzxA2mb4ASCxm/+gApEAAMo/6AEQ8AES+CDx1QNdlAFqQBir1QHnsdgx+RVEAgS QecPu8Qjmjh0JPcOpsAGVhAExmBoqgAHcYACAUAFOxAAmRBf7VAIbzAHZmAPw8OJgSIP4uAjruRz NREbvGR+0/AJpGBLiWIMoUANUvc0QPZn+6ALxTAKSNALbOgPpnABvMAKCZCLLaMLfeAImBAKIreJ MxgO7GANuYAG56Aoj6AIunANUmcfyngj94AMtEAJXbAAB7AItXCQCHmQpUAEASAFZpAL0AAEfLQs cHIMZPAG3NBHcBIOgjABqfAIFYBVLTMJQNAFKaAJ7Rg0dsAE67AKYaADA+EGCTmTNBAADIAFb//I j80HJ+fgOByQAQNxADkwlEQ5lCSwAAEwAQRQA3twAJYQP+TgDvEgDVaQC8LDct9QC0NgDUuGOS0z BiKQDNyQBrKAPUHjBilgDHcQAgQAZwRQlEXZAwPhADygBICAFY3RKAShDvWgDYeQAjLAC+zADv1Q mIXJDtvQByQwCLGgDOkQf7MDBfbQCZ9wDGPACSLHX+KQDvygD9RgC9MQX6RwB8PADHmgC7oTNHWg BOKgD/HAC5QABbFAmIZ5mOsgBFFAC9HgDvdAWDLjdwzmD/DQDspQjYsSD+jVQP7wSbNDBeTQCldA fdmgO80EDphACH4ACbSwDhSTD3SAA3VgBvX/YJYXUwepFSfvQA/KeSjtwA8wdnFFcyKiGHTl0CPr aScPJj37sAzMcA221EzVkAUdMAAKIAEHkAnzBzLHAArREA+WpAKN4A904HkHxnXI45srUnstE4i8 RIjD9F0tQw6AwAOOcAgxoAZhEAXeyIVzMgOYQwZM0IcYqlSAaIZiRldZhQ5tMAGyoA06oAmJ8AOr EFLh4AYd8ANZwAExOoa8JZ9AJ1c3kAJ7YAdBwHuXxQs7QAM98AOh4AR50DM2Qw5k4AEx4AV90Aoy 2qQxUqPa4w+bgAVQMAQh0ImXZQ1f8AWfMA+JIAp2+E4zwEcO+IDxuaYaKlpzgk1dRQ/RMH9w/+IK dDBb+RehfSiofuOkHuF8mSN+72QKJ5AGhxAN9/AId5ANbKV/xqKmv9l+TDUnhUOD0OAEDVAAPJAI l3AHjpR/L7N/qJqhEfgl2SIO4UAImqok4jAP1AAMZMADGdAEWpZ/pgOFSTKjcKWqEDUHMCArBZBa UDUn95AKVqAGzFCq7TWp0opd1Ko931ADU6AJmrAFUEBTccIMqbAO0CAMyjAN7+A0RNADQwAFUVBo lLerNFqo6PoDN7qGF+YP0kAEWZANVNCpprByLAonLEAFdrAHKKADRSiw08qmTNOhD2Y4wTAM5hBO 6MAHCyAKygADPXAARZBzIGMNlTAGirAOfv+FR5KgAhu7MYQaEgMVDseIn/bmD8eQBFWQDPxGDm3w ANZgDUVABmaQB7cahepACSNwBWqAeq+kTIgCOT5Trg5FEvKwjOXzDrXwCr8QD9B4QRnkD/KACD7g BXwVdq7AASvAAhewCJkwB6TaMsEABm3wC9CGYlrXfTzynnACtmCFEtzpD+VwDd4QuZI7uZFbDbPA AhjAAFfQCKAwDjiAOZJCBOQgDWKACJWAtOEED5LAAyQwBvSAC5kgYH7bBz7wA4rAqDuXOuJgD8hg C90QtIACC6NwDOkQDuiguMj7EfiAPeTQBDTwvNAbvc/7AgewaQEAAQ4QAnrglLOzBONABqv/8Al8 4A0FU6zzIDHioA7kADLjQAznIA1nUEpbywg/IAyukAUOIAESEAnrMA/+67/rsA4sMAE2oJfLgSPl EA3QsMAM3MAMzAtGEAAeIAa5IA3T0AKgawhCsA1gUAl4QAWrgH+MV6Fc9wuucA/gUAa9QJ5wIgg8 QAkzoAAE0QFwSZQaMBADQAWMkLwzoXHlIw6+EArZ0A+64w9sy0TqcAzREAh4YAz1qSbTcwlyMKVw l0yNgAPIoA2cYAcw4AaxMJMHmQoXgAOGEArEEAw8LBNMIg/A66GhZDDUGKhBEg7Y8Am8EK7ikwlc Bif98Av+cyijYAzHmMYxsa3p48biB61h/5IouWtMFkowhAwTf2ew5gSAiGOhM4CzOhuwPAsUf1cD R8AJmHAFjCXHVoIOtfACT4AJnNAEGsvJg+rJcgUHItACLfAA2nrJXCcOR7ABBWDLIlAGOxvLPwEm 4YAPj1UJxaXLdAMPMOAJ6nAP+FCKfFepQ4Gp/vAH99Rz3Kw+O8A4iiwkkdwS7TIDkypXprp89/Gk i7wIILACKwAD8jzP9FzP9nzP+IzP8DwAnGDKfmjNsiwm11AIf1DQBn3QCJ3QCr3QDP0HmZAJDJCr p9rJxewq3XzR5eMK2aAqHKsTzPzRy8jRFO0TIF3SYDLOLGHSKj3RxCwjabHOLk0iMV0ZMyxtFzBd 0z+H06yh02Nx0zzNfD9N00HdFT491JZq1NiB1KOh1E1R1EwdFBEREAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0016.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> <= /td> = <= /td> <= /td> <= /td>
l How= could we get LED D0 and D1 on at
the= same time?
l Ele= ctrically this is impossible.
l We = can fool the human eye though, by
rap= idly flashing the two LEDs thousands
of = times a second.
l It = then looks as though both are on all
the = time.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0016_image083.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhRwEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAA5 ARQAgAAAADNmZgL/hI+py+GuQnqQNWpzxJZ6DnygRpbmaUroyrbI57beMYY1Ocf0/Yq57avohsSN sIh0BZOZ3M/wLEWVvF1QeH0wt6wp92v0gXta4HHo7VahWZV5OY4v0vKtU3w///JZa2VWEyUitfam sfc0mHIDWEjGRzfBiOGlGFbm59cHo9n5Bmf4yRnKZtlRGElKCnpq2nZ4JXo2J6ZpKqtXa1XaBopY 1usGafmre4FJG+vJq8LXpIy7FmycrNtrmwgtSQnMUSzsHY4cCsMJuVztlu46cr7ILd49/gguP3sp Ye6ub18/y98snr+BzArSM0hOILpLz7Rl6zePocFvKACqO4ZF4URU/yCmFNuIiWLCce5G/nnV6h62 h0e+cQypUdW6kikJroo5cxRCMyAzwuy306TNYbe2qQwqcScro0M7vtRCE2PTnycjrhTUDuhNqgG1 ehIZlZBVpExtrav51elYsI62VvX5tt7BGBrZ1g0kMN++sPSIoYy2VlqjmHSGkWWql3DeT8y65kJ2 l2stoo4r+5Wc6u+1xpcrN7Sm9uhmaohFumW3VFXkln1Ox+VsGheO1oDhUkuND3Loi6Vd8u6tLrY2 2Ul5Ci1L02JBvCR11uzsC7eoEx53w7LXV+w/631/T09Xh0mq8OTLmz+PPv2K8erbu38PP/76tvLr 27+Pvw77/Pz7+wO3UAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0032.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = = <= /td>
l Nor= mal logic uses the convention that
hig= h voltage is 1 and low voltage is 0.
l You= will all recall that in addition to high
an = low outputs, a pin can be TRISTATE
l Tri= state is needed in order to drive the
LED= matrix.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0032_image084.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhsAAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACn ABQAgAAAADNmZgL/jI8Hy73pogRhWlmvjnB734HJmF2haHyYom5nCz+ISo7u/L00Hk96n+Pdaiyg Sdj6GZXGpuYHITZIMqqjVrVdtU+kz5utdF7WKVgaQmMZxJTUXLYww8h2iiJsw+9b6hhnxxeox0Yo B1b4RqeVZohXtMf3eKdExvMHOVkyx2TDGIVJGbp5VgcYpznEYlnEiZgaFis72pUpmyi4Btu3xurI 8dq4enk6bMtbEokcWSxJB+uruHJ8SzvbnDztfMuNK5op/O0890W9aw2NnbgMDI6Ifq3O3I1s5Tkq Zn1PfBydFY/PXhk7tRQR9CawlSMophTGGXhQkyRp5aRRDMRtYSl3MZBQSVQ2aR6zbBV1eTvkRh5C kk5aunwJUxXLmDRr2qSJ8abOnTyB5OwJNKjQett6FAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0033.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
= <= /td> =
If = we are trying to light LED D0, then clearly only pins 2 and
3 must be activ= e.
we = want 2 low and 3 high
if = pin 5 was active we would get another light on
     if pin 5 was low, then d2 would = be on as well
     if pin 5 was high then d5 would = be on as well
Thus pins 5 = and 6 must be set to be tristate
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0033_image085.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhVQGhAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABV AaEAhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AAEIHEiwoMGDCBMqXMiwocOHEAdia4Wt osWJFy1SzIiR48aMHy+G1MixI8iSIyumNCkSpUuPL0/CnCmzZkuaIiPq3Mmzp0+fJYMKHUq0qNGj SJMqXcq0qdGfUKNKnerQqdWrWLNq3cqVI9WvYMP27Eq2rNmzaJ+KXcu2LUGbJHHGhasy5k26LOfe 3au3b125f/GuHGzXL0u3iBNTTcu4sePHShVLnrwTaatWgjJPbHVF0ErIoEOLtki5tGmFSQN0ZpGZ 9ZXRsGOHPk27NoC8gVWyuNKKtaDdgoISBoy7+HDBhXMbPs5XuXPjyY0HmE69uvXr2LNr3869u/fv 4MNP/7+NVHUAFpt7B5fNvn3ZALbjK4ZvGX2rAJwv73bPv/9V+PIFyBZ9SPGGjSCr7effggweBaCA EH5FoGF8jcTcctFd+JyG0BHH4YcZhmjRgxGWCNWERK03kYoHftbgiwySaOKMO6Eo1BUBrCdIjhXh +JpWnqHFImyXuYhVkVjJSOOSDsF3xZNQdgYlgqyxcFmO+GGjmpXYTBmll19K+eRv0yEYpplfohml mlNO59qYYYqZZpxswnkmlCxMJ+eadPYZpptz3imokgiJZ+ihiCZKaI0AZOboo49StBuPV1TZ5ZO+ Qarppo+ex+mnoGrqY6iklhoqZyxYaeqqmfW2G2asav+6qEGzMhlVrTqlhl5F023UK1adocUlewaa 1VtWuA6UrK09LftQUppVFKRKP17FGlpZsjdtWccm2SSzYjlbVX/XnjWsbNuS1e1/34ILlrgNIffR ZethhiFx5TbXYU3ZguhhctEi929v84rIa7vuSjjVUfe95uprO+JoZIFDdnVubOl2ta5VzsKbMMJQ IbVfxOi5pqC1FXOVLboTW7WxUx1/rLBUSCG4WZe7pfpkVvmWdTFsGXN1H7Igy3ziwhfu/FvDvzUt cE3l+ovXyvc+re9GAUtd4c8bohSz0VJ5jNpRmnH2JEVQatUzWVyLFvRWLzf1NdhH00xuyltRDXTL TsX/zdTcdPskdkL+rW0x34y9rZXfSwEeOE+DI2R11VeXZLjWc/VrcOWABcy5vOdq7fjjOkV+kH/B mjuS4YkjztTL6ik1OukQmW5Q4UZ2thFneAcVQEapBoeZ7hb1fpXiB57dY+pGbdzb7xSZSa3NGc1O e9FA8fdbsRzh6Zl5xl8U8Ub79VYppmjzKLSZn+0mMcl8Y5YjRbHv2HSqK+JfPfbX88/Tvp8LoEbO dx73dQ9iOtteTKjkI/cJTzWxOxvBBPicnJ3PcFyq1GW09Bms5UxnwDnQfn6HjZGpBiTW699CbFcQ bSkoWK7KSOoseLKSVGo9XNpe7EoYvV2xjin2q8iu/xR4kRz6sFpBueFEdnXDpS1xedLS27JYSDoq SiQ25kNQxKSkvx5ZyX052lkSc6RF1SQIia9S3w+V0jAuSiliF5lfGplXEvu5kUr4+Qh+mqY7Esax IVYMXCDJA5tKlfA6vMnX9gyEPqFcyzM4UhVmznW+YnnOKay5DyLv85FK4mxMwtlja1TjKENapFLX wlTFpqhCyNmNcrB8jhDpZ50Mcq8oIdlYJPXYMszpJn3WgaLrPvKbOE5HI2vcCytbWbpXjqZYOKJO vfy4FDF2iTrDuqRZ/Jgn6niwb7vSEjYtUkMHAZKZzYyKbCipp1MikY0k1CR1ftQ2jZ3Lm6cM51KK ef/N6vyIjuZkyCDpFkjZGBKSZfLMDicypkk2UXlFDA529NPJhm5PVdZcSiYRmskrETOEaELVLTm5 o+tcSXyvutTZQKmlc6KzdjTzJQD7ksXOmJFK+tRgql41SQT5MX2ZsSmcyifERN6QXq6hZtXkN1TX wHFEQdUZrPCTqpDYkYFlZNEec6bQpUFwmS/1X64KWaV/Ck+DF7mgBXkFxbSWK4fnAR5XlditZCbR pjjUzTtBiEoECnFISiyhtGrYxhyFcGdDA2tYBepM0ChRlVsqJ852dCnh2ZIjVCKZZHP2O9+IkFeu Sx56GKizwMbxNyUzUF0rtkgQpgQ/JyxXMQmm2MX/KqSgosFfwwZLPI7sx32SDKPeNDI+30lKh5nB jynL87sd0c9MeONSlbpqJYkJB0dvO9Z97HcZnaKntrYtVGNleiHMXIl7l1OJ8II6JujGRHMZCVIp 29vbmQbHRyIhTL1Ws9KMokSfI/mRo9xnvh+BN7y0aixktivD8FkrtFkJovggnBTGyc6lCE4IbkWz QeA5mGMUvkqHJ3wWC6cGwxk+yIbdY9cHtwd5R1Kq3FCc4oIUlEPC2dxcoqbj5wyXvCLKWo/zMsF/ eeTANQbAinFpXpWMmGcfxmSIyQJjEesTZjROsrLUyTDXoqqeTGkxiF885eZdecaM1XKCQ1YeSAr1 /50oE1aZuVJll535b1lWsxVBlyf0XFBFMk2vkfm1uyH7Upsz7VqRE80SJCd5z+XB2Wcl6xSAHo7M Jb5z4/KsZUg3z0CZ3OmcPYytUQPJ1EIxcXmyDCNskWYsr5MlJqPcFDBDps59kzGe0/yWQVOQ0UDG STyBcpwns+jJsTQJj31tmB8bOsiAfrZI2vYh8Lb6LH7MHlGse81pwnnWcsa0sTR9YV5f8dpkyXZP WuUoWHkmuThaIlpTBT3ztvve7M73u0elb3f7G9/v7jeZOiPwggf84P82+L/PBvCEI7zh7T4qxBXu KGujO92KGk+czlTCtAHHz2/euMin1OeRm/zkbv+q08lXzvIxua/lMH+SGWP+J1Z3zUO4LmqOl6I1 bReFTCPi0fNMrb5tohorOX8duU9sboGobKdQjzrU3ST1qlsd6rQuic+Loshq+bcpluaKrR+TdJ4v fdVNJySU7c32d9ub3W+XpNvnHnerbN1lUC61uLl19oCu8HZbGbsMU4JoofCzKXcfyrx2d/QSZl2j jbdK2eFJtLRLe4n0Sw7vMt+q6Hz2160gdmGuZb7RinpygVk26ovjbGbfXCZCdj2RqZ0hi6uNwu6e /OcRD+uf78dHvCH4CbEiZinvXV19N4rteRb5Ltu990URY3AsuKW8Y7v5TdF9fSr/9xaKuOLY933/ u5eS+Lsmz2z2Kz5R1B/m8O/T/U/0luVfvxcQ/izYNmnYTq22dcLAMGfdpSCBVjH4B1+rd2gpA2SL Jjo2ZxXDInhUNkTJlxHlV0iPpxQQ2DqZxn23BXgOiEOMdxa91S2HhxQVOBrs1zjwBy3wp2p+14He 54Dkkz7ux16DJS3fRhQnmFsXmBQZmBbaxzC6tmnzZxm+ZVk9NCQKqEUCJoEagWxaNxb4B3rkVC9G SBx6wxlXeIDSEm2yZyG05yHgFVqVtEEPOINmISY3KEJfVkBXlyo7GBphVzxK6IMhgV3qpSKOUhZB aGYcqGEGMS2o9YbYdB6B9YA9iEu6sysk+EXd/2QfG4Qk3RWHoLFGBEQ+GchJ1GIfeGJIBMaHLTiB RAFeShiJpsgZhmiGaMhDZuFen0c99NIylNgYeASAR3ggv1MpQygSMmeIUrJEJYM2fZWDxxOKfyhe MRg/LMEli0Z/RMEc/FSCqXYR/Xd5nMM0jsdUmXcp8rYlXigSEMRBqKgf9BQcTaMfCdhjiKaAobM5 y1drq3hrThgZ0IeCG/UqPoVEK1VWw1dHZNQaBIddHxFqxJOCRtGHuCSKQ/GOYUZwP0gtaVJh2EUl 5FePcvhF12ElK3NRu3NL1bNvkURwlJVPhoQpHhlhxih/3ddrVmGSw/WMvaiLFbZTLJUUs2gu5v9o UtzYFIvWZxHlNi24i0y3kudGheS0jXhxSrkYhh5xZR8iel+oY9XSTWXyS88YFIcXTXHVI6EVbLHH hdNGXgwpg2BXJQq5e0xxk2m4O+O0hkAEV/7UI4lYjBuokoDogczHk/QGYS44FGr5HtWyI/d0bPZS JBukUNWjHzpJNZJoXvTTeAj5jGfpO2mndmW5lzxHL/VFNlhXkf+DMf+IWqVEScD1Zx8kQ4ali1xV Q3xVhltCjEoRmYo3mSWRLISSbCGBj/cXE8/TZ4RlFwRDkQFUjVFJHAzlXH/lRdt4Q1DnMNJ1bGil JbpxMcGTmn7FQc7oHOv4bAvojpVJfPFoGYb/CYUpMo82aZFkRynBU5oBpouoEiQktFzF83EmuTqR 1HH040NzSWLjdoxrFoPgxopI+XNapIy7Y54miJ6MMXQxlI8nyY1hxBvoqIl1BHxBcS1URV3yc2p1 yS5EWZT6cqEzKFJ8g0o7FT4m2kM4+ITC8RF/uRUSWlVVeCNndVFmYldgZiA3ypzRiXRB6Z82th1d iEptBysENkEEs0GMWUf5klI0AStrWEwyh49s102dAZVg+TTmQ2rFUWG1mRKj5i9faZTIJJafiYNU +UFqSnXIyYpsiEsrI5/XNZ+DhVNuqKa+eQUvSme3qDrHZ09AyhAV2jsEl5ys2HkJyYxFdyPz/2Ke iIlZ07KnwrKfRfGSoSGbqUabHBE54DlLEYNrvHMgVeZEUQqbQSGpOBlu2pKSHjpWjAY8+dldNjOF xTNtbkl/xFkTHSaJuGk5BHh5BkimFLSdUdmdvsapLuamYMeXJUdpOqigd1VVlwijlLp+K1gzrMox Z4pJH8SXsCJzXfk+cqoW2yqZ09eEjWeQqXGtZJOtWFYZImZeDzkR5xGX0FItFKaWbohDpoqB1ToU 89qKP2qXEGGNzJghkYgROCaNTymFhUEwpjSSl6d6wjoiYGqN9zKmvRqWhoast8dGlnSB0kiP5bp+ Oreo1mcWAQuKHaqt8Pqx0FImV/J4fSkUf//5mF36gXq3qi37rq7aN0bKl+YRfGd5juT5rCW7c55X a//qSOx6kO6KZq6qNfZnIQtEkD3DHA1jiPwHrWmVLW26W7J2FOpaHk/7c6FYMMeatBgYnp9GP3M4 FMU0suT6spmqPwXUI1uJdHtLFniILigrNEKJdj/LtLMkqvFzQaZFoweaPGm5bpCSe9ITRl/EG53V GgcXKZsiuSWnKZKrb5rruQMHcKEbuZt7uqLbKtKEuqabupH7dbtWuO3nqY0EkwOXJynCUnNLm65U M1WpSQ8UuGxUtgcpvKCRH9fmseITt71FeswIO4q3lIRhNqkztwLmNBUqob0rMuF0MuMaZk3/a1wX dyQdu614RHVVx6bZkk0PipXVtXTb1Xm7iyrYZHXb8S14ZzHhazlnO74LmXFDWlV0B28xCoL20r45 VnjUgjb5eX5Gqjt0J1WhRxAxE2tqm7Lv0b/+e6psayS5OaINRTYhXJ7Va3pJaRI2hr+f9k+IZUAY zDYavMFecaY4VkSxqrD7goq+mUvAOVpwJosUrMIUsltbpFInNIDFSWjZCWwYu8RT6LVk67Zwio6w OxQa9L1FkcLnVCAOw18f1K9GEbd5KcOjAcVGoZvMCoDsRybB87hbtsVHgVj3pYvSQ3z7u6kxTMav Zrdgh0qWqnga1GTQoqkVocWMVTMIdFQ5/6M2dwyreiwa6Em1A7ocI7aZFMKwGWLIfzdTmYeU+Eex G5uYSVyxTtzExmHGdji8wQOlg/x8b3zId6OqjwwZqCwy3pogKVizHPzKmxzL1zfLoFHLVuzHZfae sQnGNhvEcMwfxHvGFtLIwLzHU7s5aDw55ohsvfSa78QcmtyBWUrKjmecBkM1T2USE0OrpTzKeiHM Tnu4M5lIbTzIAeiZAlHBvpy9tvSDpHlfaiJCPRrNJCu7GgWCKyp+HWdXBaYbCh3QSibEsmE+3pgS nvhm4eNQZjQ8klJW+HlNeewe7CwtH3y4W5qQqkQ9hsc90YjM1KjMsIxF56NDOgM89PRns//pGzYt XfRESzoH0G6cK4unUI5pXqoZozf8i1dzHyNDns8VQq9oHy0yGPKUKLeBzokmkNl4TfqIQL93Z/kp oeLoKgGTSg6jVFRd1oYGfSZKiOo7gwEZfnCromyolRtlb9HEGt28EOiikRnZpp+EtYQafEPNRUVk i80czR/9nuSDQwG3ss/YKj/SLds1KXxFfb5412MDG490HdNHmMQ0il97T7k0Yh3NHx+dF2foZK3Y WylNXFhZLZZNOLFRLFQ5fLqMWeEkT14HzTzdezV8lJj3zRSCUrd6FK8tOeBcw/j6iGWafYxoHeuB wFepzukM3LlR2r4lxYmDoMTN0vESG0T/VVLT4l8Fc8EWe0jWQRFZSBLkzdNIK9AY2K3HmycmSs8N /SyxTbmR5EAbWVZ8sshFlEj12met4VZL099VzN4UyLYVNmAx/J6Iep68LKhEUrukJ2FelI8dlUdr 46TMyNcdN4i6o11/jOCFnLSSHBrQ6yHFfTpmTRMKJGq+wW35lCci9dtiNJBpdKOFhjP4Y0D8JGjU zcTSPRHW7bSdjMNHnuRIvuRHTrcJGuF4LRp4qEEgnjKZFKEWO9LxNdRWPkk7NDQeTuIzzMdXkd9v eOZofnWuXM8Fi+LBEs/hbH5oAhzzvZAuEixR8nExLebJTObkGxgXHOhzIegm3dP1PS72/5ibHybo 1jqNdeHJfO6XNGzKC7Y5K46XQn6AGQPkPFneOcswptziXevnkd7nbG7f/CHGnVrqXVHkCH7pANoe hT2Ko03GavYubc7Muu3OrL4Vtz4zVaEhi8RQmTSxVjjkrRfkon7cKPHrVAEv65pFrDHi/irLvZ4V zj4V0N5lAEjMgbfr2HntWpHtYZPryhdD1+Ks4Gvt4r7m5N4s5g4dEzTt/BXKP6nsnr7s9r7v040N 7143iH6Q6sU7+6vqydruV/HvP7HtbJSqv4zwCa/w8I7qs7nc9Bq+sw6wtS7DEj/xAS8Uefs8Mz24 ltGP6mK8EP/kHR8R8EIqZ0NG35Vc6uihHrECKd1U86uScji/8zzf8z4PKStfI+Ax1UxuEiVFSyMj 30q+9EiepEXP9FCfPwkb9VT/9FZf9Vh/9Vqf5EHfFj7odeGExSlP4l3PFquntL+G9vye6cw+5E9c 9mIx9nLvFHAf93HMr11s8HMPzHUfFmRzQp86WSi/94bd918xUy3MnILplKHe+G7v+PjuEYZ/+HHs MD20nipN+Bs8+YtR+fTaRLao+WLO+QtzhYKMJKI/+qTPZcmm9v2+9vr++rLP9uu8+myW+rif4LaP qrnP6rv/E70f/P7++w77+MYf+cjf9smvEQEBADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0018.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td> <= /td>
A t= imer is basically a counter operated by
the= processor clock. It can count down a
fix= ed number of clock cycles.
Alm= ost all micro controllers have built in
timers.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0018_image086.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhVwAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABQ ABAAgAAAADNmZgKSjI8HkOsPo5y0xoTNarb7T2XYhoDm2YkcyrbW+nCNrEgZNNd3XF69qhnhRLyd qqjx6YBEB9NJpCUZveHymlxpfzCYdYoMkLBias08lYLRVeg5PM5yz0z5O95tu+388vbJZtMUqNSH d4g44fWVpoeo5lclBPki6UhISYgnpBmXuIg5ZxjWtni0V8b4KJqKuga6UwAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0019.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> <= /td>
l 3 ti= mers
1. Wat= chdog timer used to wake from
slee= p
2. 8 b= it TIMER0
3. 16 = bit Timer 1
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0019_image087.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAB7 ABAAgAAAADNmZgLTjI+gi7EPmoMUTpaq3rx7JUlPQ4WHl2Hnx7ZuZK5gOsdXRY70y/e1rTLobLfS zodM/i45mMwpXBalshzJ+hzurqvQMshMNWubGJiaEFlMWqy3CRcfO+YvlEgjgtMZfLw6h7LVR9gl B2iYd4TXdsYzdmfnFhUpOah25+VYiSGYNTkBuqcJmXkCtIZIaYdzGSXK6cfaVlemqLqamgsLy9ma patp+yQ7vFv4ihymPPsrrAHJaMxc2Wt6eJzMxlGKyq3GSz262s1WGuwMPB1KbU2FbpRTAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0020.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> <= /td> <= /td> <= /td> <= /td>
l Thi= s is in register 1, it counts instruction
cycl= es
l Its= rate of counting is controlled by the
bo= ttom 3 bits of the option register, which is
regi= ster 81
Opt= ion value     count rate
n                     1/2n+1
6                   1/128
the= maximum option value is 7
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0020_image088.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhUgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABM ABAAgAAAADNmZgKPhGMZu+kPo5wUsKbYu6j6D3LXoTkciKabOI7qC6/aKWYl7boQKzcsZvkBT0Fh sWT6JXnEI490ExptSmitOaV2iFwdNtd0anXWLTiK/KKB5TGyLY6rpXCtmy0/Y+bTcNxJlrdmlhbY 02FXFtg1WFcjiCeGw7inR8gmhegHOGkJR4mo+LbJOQT66XmIR1oHUAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0026.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0026_image089.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhvAAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACy ABQAgAAAADNmZgL/hI+py+0PUZgz2kXr3TnsD4Yilo1RZzpoyrbs6ipwbMz0jctlXu88WPL1KABf R5M4enS2g3I5REaJkiA1KZwyUCub0vlEhsHfapl8LoahszG6qX1n15r2mC6n4uPGdf4Yp+YmCEhy tTdVBoPH1WV3uANHWBfZp1cJeZnFRGmFSVTo+Lk4ipXZ6bX5EFrKp2qKytY66XoF+xaYeDYoafZU 60e7Oisq1ippGQs7ODm71agZ+yusQmwdvYR8mj33aohN5isLTv0N1VA8rgzMnanFatucK54oLwwv zQ6R7oFf4V+PTqFyupIFQiSwnTFe3nAVtCXwoB+Eqpg1ixfsIbmHPfSGQZz2x9lEUBhfpcljTopD ZxeX7dvUy9M6lFF+2LyJE13Dlypz+vwpIqKJnUCLGl12Eki8o0ybilsaogAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0026_image090.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhkAHSAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACP AdIAhwAAAAAAAB4eHgYGBhcXFwgICBMTEwUFBRAQEBkZGR0dHQ4ODhQUFBoaGgcHBwICAgkJCQEB ARwcHBYWFgQEBA0NDRISEjQ0ND4+Pjg4ODo6Oj8/Pz09PSAgICUlJSgoKCMjIzs7OzAwMCcnJyQk JDIyMjk5OS4uLjw8PDY2NisrKykpKSEhITc3NzU1NSIiIi8vLzExMV5eXlVVVV1dXUhISFlZWVRU VE1NTV9fX0VFRVxcXEpKSlJSUkJCQkdHR1ZWVldXV0FBQVpaWkxMTENDQ0RERElJSVhYWEBAQE9P T1FRUVtbW1BQUE5OTkZGRlNTU0tLS3d3d3Nzc39/f3p6enR0dHl5eWFhYWpqant7e21tbX5+fnJy cnh4eHV1dWVlZXZ2dmdnZ2JiYnFxcWxsbHBwcGRkZG5ubmhoaG9vb2NjY2lpaXx8fH19fWZmZmtr a2BgYJmZmYODg5KSkoCAgIuLi4+Pj56enoqKipycnISEhJiYmImJiYWFhZeXl5SUlIiIiJ+fn4yM jI6OjpOTk4GBgYeHh5aWlo2NjZWVlYaGhpCQkJGRkZubm5qamp2dnYKCgrW1taKioq6urqSkpKWl pbu7u7S0tKenp6qqqqampqioqLOzs7i4uL+/v6GhoaOjo62trampqbKysqysrK+vr7m5ubq6urGx saurq7a2tre3t7y8vLCwsL29vb6+vqCgoMbGxsnJyczMzMvLy9PT09jY2NfX193d3cPDw8TExNbW 1t/f38jIyMrKyt7e3tvb29LS0sDAwM/Pz87Ozs3NzdnZ2cHBwdra2tXV1cXFxdzc3MfHx9DQ0NTU 1MLCwtHR0f7+/ubm5uvr6/v7+/z8/PDw8P39/eDg4PX19fr6+vj4+PT09Orq6vLy8vHx8e/v7+Hh 4ff39+Pj4/b29uXl5eLi4vPz8+np6ezs7O7u7ujo6OTk5Pn5+e3t7efn5////wECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AKUJHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuXMGPKnEmzps2bOHPq3Mmzp0+e8YJKEzo0XtGi RoUGXUr0p9OnUKOuDDqNWrxq1gRaqxb0Wjxs2bTFs7ZNmjVu3bx9owZOqtu3cONONCpnjhRJk2QF lUQpHp1Z4erYmUJLVqV44izVuiODyiWjciNLnuw2nqQq48hRsoIpKJ48ejJJ26PJmq0rfPrEu+XH WrkruKo5XEq5tu3bJuP12bSUiqSgnC5g8IrFXNA/VwDFy2UnaKBzkBcG5dYUt/Xr2CXGwxMoaKcs uoIK/9LiaUu8LLuucqEzKB4vKUb9ZEvKtH5QdDMEdLHPv7///wAGKOCABBZo4IEIJqjggvVlh1E8 6WRBiBd+fAEGHZ9MUkg8YQhCixh6WLGJN2MUkgUeYxnSi1HTgBLKizDGSEMANE4R44045qjjjjz2 6OOPQAYp5JBEFmnkkUFWYouDD6oTiibS9CLKKL6kI0483/yy3CSfBJUNKF1Kgw0w5QylyyGkpKnm mjPQGAAZa8Yp55x01mnnnXjmqeeefPbp55+ABqpnJ4BwER2T2iHF31FMKUqfo/2dk8EANXzD4KWY Zqrpppx2uik57SE6V5kGobPONdbQpqpS3AxlDTbUSf+HThnAHCrqrTjFg0tzuD4UTzCe2FcKGYgI Ak4803gT1DnhBJWLpeCYEg8imFgjilXSJbJir9zapCuvJ9HWkLhTScMHL+ycgoou28RziCLgoGOZ GV0Ik0oYU9yCyRlk9MILJ+3UoEo8lHBiq0Hu5nIwRdV167B2uy4MUlDtHtpwUe5cnFs6aEhjziqs DJMxITbIoYs3ZoAzTRhgHDKMJFpUQ0wwrbgiByatxEMOFUMplLDEEk1TjMYPF53Qt0B3FA83c9Cx xytMbWNMo4hVAcgi5CxK0q9ZxHMMIIiEknEidLRzzTFzBCWGJ5oYwggfQZGjhyFpmKFGNeqswZXP hyj/nFE8tWgAC9FGFz4Q0rl5M0M2wpxxDjB0nLKLBuIYQ0d68SCDhTeNWJJMO+gAcwsfxJQUTzhd aLMNOPEOdYglQbmjhSOBAELIJHmEEkYlhzyiCTu83NGINbmolvTPf//iBx6bpGr48whHnHgaqRKi ySiWdAHIDcnQwYkYWc+CAydjKDOIJO0AksMdmWQ8UlCOLEN1MNAN9Q0nmmDzDiidxGOOJchQBzOC Ag51xGMT/ZNO35Lmq2U8Ih6q8IOyoEfBoUjPdOlgQ1AWgYpO9OEKoTDENgoxiCnUahlnEEcm8kAI XVCDf23Qg1i2Ro7HFKQpAhLIdFAxQ7757UGyUE48/15RBVsQroK4Qpzp3qEDUeChDeI4wyvMAAg2 eAIMu9iBMuKhCx58Qnh4mEOhBOGKHgzQdB3BxhF1uMC/BTEpvdBC/xiIRCYpsSTWeEQeFGEVX0Bi FbmgRC1gMYlLGFAdicgDHsahDUY4IhnNCMQnUELHiFQSeUBUjg6/QYdYOK+ODrvj1qjWH67k0DqY vEg83ni4eLiCC8YBZbdEqRKLWeMPbqiVxCoZl1RaZJWabCUyuECMNcryNrRsyem0sAlctKEZxsSN LysCzOgwxRxeSAUvjzmZZE4FHn5YRVC8UYU59mqaDGPlUMKBrXiUww7B5KaDvEnJeNiiCsVMSjfs wP+bbXYzEMbJlDEgkRReLGIRUxtKMCLhT3l+JJp/u6Ayd1EHbLXSEoroIaLi0YUy1OGjIA2pSEc6 0jdsYBxBiYQngnGHpdwCXA6dSikMaDqJTsUUPiiDHwiqQ3IUAhk2cMMnESWLZJjjqEhNqlKXqtRp eGIG1InHIG7xjUQUxaYxpSQYrnCsrWFVJeZQBzVsMQXIxKMZV0jHO6ixN1FxahYoCoobZnGNPCzl q1k1XSIsQQVnQJRheN1aK+NxDYbq0BdyOJ0gEppXHbJylVUwQwLp2diQuIsawZgD1BoKEcpallzx UIchzIqLPbhCC6XwSmWHos540AIZpIXpare2wG7/FEIRbfWIZx9aCzmggiChHW1QynEHDrjCUpx9 XjUHq8PAzla3CzTKJOxAU90696HryEIwqlArHaojbeVIBSPaIMTnsjaeN7yueTWCvKA0wwvC+Ots 1Ku0alRBC12Qlw7H0QZWuCEW4HgGele73IPsdr0PaqMOe9EHVMiXIQfmSDzasQhl+CGfX/GEDiAR 0FnQIbkULHD0ZItg6P5Qh9poBB0yBuIRt3guwDADLvLgi6vIAhGA8IJSPPxiw4k4vSQusYQV3EpV DCIYG4lHMoL8vldoIRXS6AYkBEGOcuzBrDxe74+BS18hM4zISolHMXiQjB7rUBkbMnNnmeIOdgRl /x2j1eEs0qzmUKrTxQZ+sJeBq+B4rE6H8SBELXocFDQI4Ad/WEedfQXoKIuDClhuhBq/MtstH+6r DdqzdtqILCAMTIeMYCw14/EGGnXAUiyZVg0WEQYxmLUYQaCEGw6B6spaWoe8aER//CCEOkDKU8AO trABNIgzxqMVOAiFWe8gamqygkbmWbQl1dEHKJ2DIKFbxhpgW+k735AZWxjGJ8Y97mEEwk1zEDe5 183udrv73fCOt7znTe962/ve8kaGGa6kwz/EYtnNZlg6CBCAzrhkLI/4A1GCogspxLLbAz5cL4bA hz9Y3OJ8IIKbalDxi3v84yAPuchHTvKSm/zkKP9PucpJzoc9eEDROhwEinTIbDMHhQgFgIe05xIP UVDhWEFhBRd0bt5bD4UXdGYKMD4QABCUedhQj7rU5/DDafGG5gFnmBuKoGfdDtEN0JFDIWIVrgFF xejJjIcvdtCOndu6D1XvRg/jUfOjFegZyi7Qmg/UDkTsgRUK+uU4wrGOwhve8OA4y9m9zeUg/0rX mp4LmK1Zd4Qco+MX50PFMa95PjBiDpvHPMZF/wdOQEQcpDc5HwQhhRvgQQ4r/wMfcMGweNAACHtw g+53r/s6hCEEg5uY2bXD+Es7nheqifymT3zDyiMMEX1Q9yd8oQtfQGMXu/iELKDRjGYgoxnXb8b/ LnxB7mUsg/rkHgYWYA5hS3BB+vZexizgX+9hAOEYyTXKGuAQDf9AwgjvUGerciCdVXzNdXzJp3yd RWTNl3U6BAl6sRSy8AibUA2oYEDA4AuvsEXB0A7cEAp/AE3jJAquYEpBIUGXxAnBInUbpF/aYQ2H wAxlMDTWZA10wAqJkDV/YwuYMBTjAARsEAlcwHtEqHtcYAiSMB+8hHaYhnxuZ2sMCFzOd0OQADVD 4QwzAAyQQAqnwAXukAa8MAMiUA2asAlzQAm0YAXd1QewwAegYFaGYFHSMQqu8IQIEQ910CxzYQ1t EA/ZkAV+pUPYAAia4C71kxHp0Ai/4Q6TEAbB/9AN3xCJkjiJ34AOt6AKdGAHuGBMTIiAdphX6IR1 u1SFSUENaTAHrSAvdjADmRAPV2ADevAJbmAFFOMOYmIphyAtOhSHl0SHn4gweZh/1uAG1MEMWWBE QaEIrRgPfnCID2INdTANQbEKcSUg2CALVCAKPeMz3mZNTZiACjgbUXg4lQdapDgU79AOrZAFiaBk R4BSVkALV0AGi1BWpwMPRXEHfYANh8OLeQZcvugzmWYfjeYzwbiHxBgUt5AF+PcHsHOCAaUpq9AZ SxMIGiWQ2DAJfuA+R3NnOPSNvxhToTgUlWeL9XGO8TAMU9AOnLAf5EADagQGwhAMBtCIpvAMY/8A W/EwB0jwDOrQj3JIECaYFAGJENhQMRUDaH6GUpl2hwf5gglpQVawCH2RFHPgDNTgDVq5lVzZlV7p ldQADVewFJxQTL4SD6SACGRnYK3FDIigRhbkieHoK+NIc08XD9DgA4HgC+UQFKCQMz1TCmYQCekQ D/uTKplQK5VgDNRgBWpQCkIRCGEgBTWmQ3NQMfZhBWIwChFZlAjzDnrgBm2gCnjQjvEQCbuwCXQw CYmgDtfAB4DgZkeTNv/hM8MYK0GxBxrQdjoUBmbABcAZnMI5nMRZnFwgBWFQMaLQF2vGCRF3OI/F Dm+QBD2Tdk44l+LIfMA1CHUgCN6pBjRyAAT/gAWUEAjc5ihHwSgEqSiHMwWF4J3wCZ8jQCMIsAF+ 4AyjoE0JsQ3swAh08A5WkADX0A0K8AhTsAvuYFp/kAme4AhAEw9qoAjxOaG0dzS3uRR4UArPQCtJ sQiFySmQkDX2ZHBrVgjQoDEFBg7OwAbtEpcH4x7giJ0+tEuJoAincKOM4CYkoASuQAjDYDGNgjDk ghTAJQWqcKNIeqOooAE0sgAl4Aa5oAn6eYcEo02FcATN4AtLUApdwAWN4AXKcAfA8A6EQDTxYAWU kKRJWgJXd4cXGg+goAdBUQxZsCLM6IwPEg+L8AxBIQ6Q2VChFQlMyR+/IKc6BA9g0KLWGaMy/3qH dUmSmxgUvyACfoAM8hIPsQCYh2MOctAIg0YfQfEOOtmUhzMHg1ofZXADmTBAQeGZBqYJkJkHibAH ldAHlRAGlkAIixAPbnAL12CadxgJQ8kUe+CCBjaM7TIJD5QUtJAF0LEHePogfSCC5DAJehYPnhAH Q1iEXHAGGtCXQ5ENNqCoINmoCqSd5MhY5ZAqTHGOOhQOVkAKuvAFveAV4QAOw5AMwQAH8fAMsAUO l0B0wOWPBYENpzoUroowlcAbbUAJY2AIoIAIXYB/XbAKrtAIjGCtR/OUwMUFeuimkLYJfLCNQ/EL cOANd8BvSXYI4REP1FCInKV2fvAO76AONv97s+/wCkxgiwLxDa2QFUORC3aFMNdprkfzqHTHWOZo hTp0CWEwHblQBdUwCFJwCFewCoaADHVACK7QBTuFUgMblK0EkHXoM8dQZrPQDjOTDM+wC4WZDY8h CIAwDgb5sR1rtwiDDVxwPf1xDH1gBgLLXn3Qf4hxGDHbB3zaH74wcwUZFJSQNkTLqEbLZ+gqinfo rkNxCjp2OujQCJwwB32IDbNwhGzgB2PAB25QChy5i2J7hwkrpL8GqkMKjHirQx77oPGgBCbQCIDQ CL77u43wCDTAAMY6LgFiB0+XDacQs76wrGwZcUGxCXcgmzdUtFQqoyOZtKPItEPhDViwC8r/gAXM IAwnkAp74AyuUAlV8Ad5cAqxIAqs0AO8YDEEO4dlmxscezi3uxDmoAy08AsAHMABbAuVoFoP4Q7j cA0KvMALDA7hUAkBGA+fwJydBQ518HAIw3jxAA6JwAe5BVzWexDEMEFzmb1TCFyVMAv2QQ5VUAUn ug1pMGFUIAfe8ArYwAeNMA3mJi32cQgkLB2u8BgsyIzsd7cMRCCdxQcyYJzAaQZfUBSTIE6dVQ51 cJ7Pa02rUQUOtkshDFynGQeFWcJIe8IEgQZSYAmVkMZpXAqakAmmYAlZYAOWoAqjUAqjoAqcoAqZ oAqlYAmlsAlqnMaWMARdBWFtgAZoHMiK/7zIjNzIjWwJTNANL7q/KZE5iPAfxiCnQcEHGCwdhhkI U3qHrXVsXEC4R9PFwBULg9BXXedQJuyAAgENkuAKtFzLrpAmtNwJnpAKt8zLvkwKrpAKpMDLthzM e3CRCiELs1zMzNzMzvzMtpwKfgC2N0TJN7QopBSk4wINiZVngoCM2XAIs2EUw1CpR7RcY6EHRVDE d4jKhyMHtTANgUCRyodJoEXGgxVsezfEu2TNhyMOosAJnNAM2uAKU3MNnIAHA1QL7oAN0NAOvvDB R8PNCxMPu5AHqTKIdwkg6bAKtyONEPZGG9wIiGAoR+zOOiQHgzMOcuAIa+ll7ZUOpbCJlv9bLjrR z7UrENOACjTACLbgB5twCNEQCZPQtekAB9SgB5sADDsg0XdI0QjjCnUwH+XwBT/QB4dwUFq91QeV 1bshouMiC4rQr14QHlQAlz6D0kMhB12yF4ughEjEIHAXFNrgBaUQCbKpvSKZv62kCMwgDfuBDFkA afHADt/ABnGQNrlAA05tYFBtEPAAttVADtPADMFw2Zid2ZjNDGIBUaukB7RwCPPLDW6A1qcsue8c JpIqBVMTkm7RDecgDrI927Rd27V9DlVgp8kwlqEQfHotT1fhBihKd7bQDcJdCzJwCEFhDejQBGdQ BtogDjnQ2Ajz2Necz8PnK7SAAniAXOP/UNonjdopHSZFYQ6HUJXKdaZUsAdz0N7u/d7w/d57sAQ6 5x5XVgoORpKwHGLqkAIJMAck6sWBUAzYAAft8AiCkAbJAA9b8AtvMA6OwF1BQN03ZN2plguAAJfx 8N2m3c7ivdbkrUPc4AiIAK6FI1exKyDFNk5soA5+MDT67dqSEQ/e0AA0Ity2EhSaEAzx8AticJnL EAdnMAnWQAjhMBqXkAgUDlwWnmoLx+Hh/aBsjcV7QQXROkvxQAXFS5d+Ew+owAaAoKiEoCXAHQ83 EAAOMGjXu+FM0Zf1MVQQ1uQwseHgLR1qHQ9TrkPeMKjEMAbQZDRBoeX512duHhTBkAZn/wTckxAA I0DNCDNY1CCCC/dXeNnNNEHnHW5gd57n8XAJi0AIATgUoPBpRRPoW56dVB4PrMBdMt5NuPAAMXzE 6uAM4uANlIAOzNB/DCPnL4HpR/wMZfofkzA48eAOYJAOnEAKSaEK9/swpj7ozDcWocAI7MxNY6EC D+TJb/AFdYAJhUAHa0AGgDcXvH5wUC4d5xAEfbDu7N7ufdADNRYPcGbRyoawzR5KWX7q4wJm6jAI MNtYUjW/0oENZSANzRAHWO0J4qBwMVvuynTuDNELtjDxFF/xz0AFJxoP2uBqr9CK9t7qQJHv0E4f yeAHEWhr67DkPcMGwaAKZGAIe4AJuf+gydoBCx9WE74OYQECCb4NCXuABjQdD697TiIveQozjU1g pzM+u2ZFqrAbpLU5u4CWA2NgB7xQCapQC/CwxdrxDON+6eMQBpk+F3luFqSg6x8/zvxcm14n6JI3 QO4gCIxgj0vvDPinQ0XBj+4ADMcQDOvQDenZFN+QMdXgZpDxDriQDcegc8xQJu+ADe1wDLdwrFrQ duvJc73OH+5guLKrHWUPWkN/Q94QCYJS+nFyCnTb9voOYT5MDX3QF4ag8j/hZ3tEBQxPQC4dD7BA A6BgCaZwyf6RCH7lDqXwC38aDYowA4agC5ZgB3/gC25ADTIACXpglPDgPAvnydjcH+H/0gyhgAeT kAu5UAm18gxyAAoGRAt8sAmF7CtljzChD1zZAARIUv83sgO04HbPPhfW4wc1tg0A4aZaPGkFDR5E mFDhQoYNHS6MB85Jq3DN0EFyo6zYilnxRFkJ123YHmFTpPS65qXLpnjxpDCpEyxTpBDqWsbbc+5a GmyWBpk5F+ddOIYtHyKMp41VKVWsxu26NJCWIFTYmGnqRIkawaNdHR4zFeSVrzCrCDXr8glRIWBD bBUSxNVrPDmf5CId5eouxGSJbv4FHFjwYMKD8Szb67VhSyroEh+Nx2aMuJbcBD5WnFlz5njOupyB lSrOpjW2xDjG9AQRKWR5jGXiYimW/yhZbVpKEYXsipVKXLDdDESuXaB4lwx5SfeE0aPNDctB07Jl V6hEf049W1OrTTNAV2QNW9e8ebxueeLpuhMv1hBJLdexw9Lo1zrMRetijpe3vsF4wRbtV2gbaIrh apppWopGHW40i0eRZgDcjDHHmrvkHYLisWwg8TbkEKJzLIknGRsaeSOWNnAxoyVK3OBmG2T8GMWO OTb545lvGmnJi2O8eeMKTga5SRo/snmHjXg0CcSKc8TgZsEOD2rpklLiieSZdxTBJYhNeHFnEEOi ecadJ+fyZo94XkEknk16eMW9cM7RBAxAIETqPoj0e6i//+aKBxFOEmkzHkvuYCceTP90CYM+xRp8 cEwo42mMToWMkgbDyxzFNEJtqAjjikdsceMRUdbZ4UFXDmlpl0AU0SMSPZShwgvb4nGjDC0k4eKT HAolKI9c6GrDjVe6OCdFSRftBMRDjgHnDm5uAWQHZBTJwpRVtDm2w3jSaQObZ6wQ5xBByJimlSk+ ceMbVM6gtCu67LpTrzz9O7alRgDpw654TDnCClYg4UMEY7JlNFt3IZ2QQ0s1zLThuayBBrF4xPmk m3iAySaeb7aKp5xw3PnlHHR+ecQORtwrhph4vMFGmWu4esedeFykBht2tmHH4UpNwSOePDppRg5P ChF0D0Yc4eYbJ3VOKJ5rRtkmnlL/siAlnkmyEOOZeELJwopgDH4XPzyblktPgye5Q4tPaIFkEUfi qWKMTq5oFzIHDYYMYbybznBvprUNMvALuQqsHUFCMWfwwPhT/C+d41nmlG3byAKYcuzYQgp1RBkC DTgI/JvsSuP5raWBbjqdQTsnHRspwOidaxuQjAHEmEoiQYSbNs6gBA1rCL5bdPEk9BvKvkNHPk+j HK/UlUYQSaewbfigI+rBkl9+G+sjGt2aJrFJHqmC2iV/77DvZGUwTrY4hWNy9pzrFDDC+DqeYcZQ Yxc+aPkC3rmCh9ImlFE84mnreOFDIEKwgYkHeWMWnhhHpTRBBWVMwgy5kEY3gFGc/4GlrBEYqIE7 lEEKbqgDHe+ABzfIgT3CtbBxxUvgXFbXNFX0oRmywCEOm9EMOAQgACxwgiJKgSrOvOMbhCvhhdyB Ds4AkD9tyIHWzKc3A14qhggkCCK8UAVa4IEGhgBFS95wi5bYIQfNaEUiKjGIQZTiCZm4ghLOoAs0 5MERklCFI9DwCTnA8Ip/3ND5JkUJMBSCDoc8ZCEK0QAfBgACcfAD/CbFvPGVbXmjA16joKQITNxh FXU7WKSqyDBAho48hohHNvbgiFGgY07xWMMA4zEIR/zhELUYQySmMAYpxAMVgchDIpABt1N4oQ9o GMQAS7nM8AmyaZxoxWBM0IIqwP+CPvAg4kLg8QpYqAwwmBwdJrmBweWBcpOa5M8dkuEORfyBG2Cj osK4oQVSMtNhM+MCMkYxCUUIIh2KaAkerHAMTXhBG0iYgTbCkAlRyOENUpOCFgQxCFwkagpieMQR 6mlPjmLKmXiRV0Km8c6bwG5SiGCDK8SACmnYxBvgaAk8jtgNeLTEHOtIR4rKcaAMhgciThzfHaLR Ek7Mgad8EiWDvkmcwXU0U/E4BhkWwQ1ozOIb0LjJKKwQCZt0oj3K4EIhcMGJzqQiFeAAhBU6IolP FIMS5nRqXMczQ5A+hnwmbdrRfMaIKlhhE3MIhDowAYc7zMIQYoDFKcLwBUxUgRn/i6BCMXBxhLjY FaiVEupNZrEIAkmqgJqpxjGcQcaJucIbBLnGaeU6puthspyufWE54bpa2srQf01rXVHwihRECGEK 5BKDMs6QCCvcQRVk6MTPtsELXYSCCnlYhB3YAAgwnGILv/spOoM61PGZIxBVo9NnF4WLG4RCCpMg hhU4kYZkUCkH2K1tIOtjV/zE176BpCuUcguR3UKJEIUYhxizUYZY4IEUxyDFF47wim3Aggttg+wd 2mCKR6DCC7MtGFIyy59y6KERFlNeUhf1CzTEQxhZsEQcmKEMc1DDEFwY2H1lPGMaQym//NnvpPrL n0mYwnR1WAcq2tAHc6DiClzw/4QXwCCJUADCD3QARDCosIdlFMMv+LlsPDbMuFHsIXH7Ee9ceFEC KzAhFVuDAxnAgYk38GFWNYZznOX6Uf2GdDHJ6ENhBrMOi8XjHdjihoXiwbGWuOO0em6JHGSxFy1z 91HO8MMltrGYeC7KGDnIhk0swQtaKWIRXQhEEGoqZ1KXGpDOJF+OmxaMMgQjGa+GNayD4epXM+PV wMhFMoKRjlznAhjJaIc4mDHsZPi61rFGtq650BENO/pR6VCCdl0n4rkU48It+UUaqHAFT/ihJYpg ianFPW5Trm5m08CxnYuijUAcwt3vhne84x0IQzghEO0+RCCg8AV8y9vf79a3MP8YveWm4aFNRan0 XNzh00qxYxnqkMbLMKQoclfc4k8SJDW+SDhVkw3RhJGGmf5yh3N8XDDuKEfTCI6UR9yWbNTm06OC FM6L19zmkySMneJhjDTUgePqvic4fE44O+Biiip3dp1cPu2E3dzpT38qoh3hv3isApXj67ijIjL0 8RXdj1BauY2X/iiYQ93sZ1/UO9TABba33e1cIAKzK6UK22Ad6A3bOqXi4XWth50/N2Zc2dE+eMI3 7Rla+AY4FL/4xd9oGIRjRXrGp4m7P1Xoeuc7a/0+PsBXUvCFB/3g48EL8xSm5XKpxtLiQYm3mvLy RDd635Mu9vkmPPS3R/vo82z/n9vq/R1BaI/ruV6pzDctb+mc/d/HHvim4975UNf9fPPbEl74gRVL Y1reYf8Ya/zmIRLfLn46P7rPP9/85I4+7+/SklREImNfz9Prux57pGgjEwFG+B9CQbjNV2r8YT6/ AEQ/Xtg9iJihmREEOoCpZpI/4qO/R9EEyUG4WJABZ7iQ/qMzsms+AeTAUks/A6S6XNACsoI/d2nA eDiE+hEMdAgEkiKMVNANWcLA/7O9DrTBGvvASbkP6hMCT5itxzlBQDCDt3O7emOCFyPCtouDY+gF zWk08Vu+StqDqLnBKoSzHGwaOYCFlnCFPCCDl4kh7TMIbFgHajDDMzxDdQAG/y8QB3VAwzcEh9+R BTuQhkJIPs6LwkophzMgIyv0QxnDwjpphmrQA0DoEnIowbloQNgaDFcIlMKoFE7QBEQQOAOkhcJw hyOIAAmIpj/0RNoKRCgRBFVIhFFoiTloh0Q0weGDjGugg3dyiJYABAxgBvx4hC2gg0bQxV3URTo4 g0aKgx/8xGEMQwKcr0AAAoFriT1IxTBcRMiwFgAhD0SggT6clEdABF/YoW3cRl/QgwfwoSoQRmIk R+QJRRzriAthRlVsRZEzuZuwhkMondZShFlwhDv0v0UrjEJgATZQrXIEyCs6R8bhj3UMw26Ig07o BFJgyIZ0yIdkyFUAgzqQBP+IJAVWeJlQCCNGwMcMZJxI8L6AFEksMsa5MMgwRIVKqARLYMmWdMmW rIRN4ASWDIUa0ANLWEmXhANYgIZF+J0ZzMOWqAMQG8miNKWSdJeTDMN3DIxO0AXBgIUrIIQjekIQ rL3yM8qsVBikhAylBMVysARAMIXfuIZDwD/+2AQjiB7M6kgaxEqthEsG4co88crVigdbUANgqAKq 5IRKqBtK0KSq1MGgrMG4NEy5LMCubMb4iogtQAMuGB1qIAX4KoiQZEsovMoNPMzNFLPEpMvFrK14 UIttiIRfoTkoAbu2JMy35MzWRIq5jMW6nDNnMANT2INRgwygzEx2dM1yHMj/SloeP7CJ05yzWZCD XqgX3UQ41uxN1xzIeLAGTFCFTdigZjADTZCZdpAc3sS4cWw2zFxOzWzO8Rwf2OSPdegBZBAFMtiF NbiFSOiEPkmBjLE4wczC1RRP8mzO51QHGugFZxiDWtgBPLAFcEAHPyiFMKpP5YSIwtTP3nxOdNiA MiCDT+CGZHAEGvAEY+CAPHACKkQ/DDy98OTOB7XC50wHGSApUiAEa9iENsiDRoCFMvCxirNPpCiE S9hNE33Q57yGO5CZpGAEOGiDW8CD3yEGSCjRMPQ7BCkDTiNRHtXP3ywfbBGcBZ09LtQC3GxQ5pTS rPzNSpE5MR0fLF0/bWiE/z/Allh00C81zDAFPcG0qUggwRDLTzeNSzgtvKpsiVioAwsMrzbFU63U U8J7Qi7UgJLzLEFdjEH1xEIVvcxChzzQgyqQGaS6UzZ1VD+E1Ny7g1wwh0PwMUMAw1DK1KKYg09a 0k31QPN0vgahg0CwhZbgggU0VfOBIk3wTlbdU1fFvXi4gjeIHlq11bzxUqSwA2YIBQVcVV7FQV/F PWI4otGp1XphVIg4BMoghUhAzmZ11vvq1NxroWrFVPM5hF+JB2U4BH351leFVvMjVms9Vig51wuh hjrIhF1tV5sL1z2NB3K91Qip1/HpiUAIB2/d17jqV0P912K1U3M1zUqSBP8/iNiEzb13fb54LVeB rdjROQYqSB+EtdhlWljRa1h5PVVsrdjlUYdAqIQIGlnow9hXPdmNZZCB7Z5K2gKVidmnK1lxYx69 ayEtWMCZM9oWmlf+GNh4GIdI8MHxAYRL7Fmn+1lTg04XdAcXxK6W8AOqxBBM8trUAUB3WVpWcAEF xawYm1p+ndmaiwdXqIJCCARt8AU/iIQzS4U+2MKC6IbfWIcqUIQ6QIZyYIQ+8INwmAU6ILmbQCWm BAxCqMWC0IZCiAX+U9u1vbiqJbV/BQV3uIJQGINWgIdYWAYz6IVIOLSbuIUc2IZoyIJMOIN10IRZ 0INgsIRHuInp0gNH4N3/3vXd3/VdPWCCxAmquAiqy8VcG23bzPWDLRgFGviFVsACPzgGZHgbRBig bSiHgRCHI7CESHADdSADMuCEAKuGLni8gkiGV2Df9nXf94XfV8iCkhsfSrVckU1e7Fne+vQDMZiE ZXAHYuAGVqABUDgZQCAjTGCDLRSHH3CETLiGZ/CGYMiCR5gnUbAkx/2LQYjcSukFRDxe/M3fo/TM 3JuwligHMxCEVVCDZrgCVbgCx+gGaiiH0QODmzAFM4CGOtCEL7iBVejY8cDZ09Qy5B1hq91fG6WF r6kUamCEQEBOY+iDPgyScBgG/jiFRTizUkCEO5hVbRliDTPiI97cJLbR/wxWHOFhxJlTmDAGuzEm 4zjT3Iy9JzdOJziOOZOL43sy4/FEwSC+Yxgyh3fKhXGohnZ4B2P4BUQkBmMwhmIQkz1+qj7eTzsO 4eG5A13wBhSIhmBghExYg6shBVOAgzcohTWVZK2jZAi15LRNDEqCiNuthTgABVJwhUnQg7tUg3gg hSkR4Z6d4838Y/yIWkajBlfoVgzLBTlYhFcAhCrAhUl4m254KFMwxVSe5BLe1GFeiGrQgvYKDHN4 gAmIgkQwBqKYFGtYgzLgBjMYA2yQgz5qBTeQmnDDZlXWZkfl5qZZhxcgAz/Yg4AOaD8IAwpopAUo gw2aFC3IMz8wEkuIAv8v+IKvwYTK8+NfdpdVds5WloZA6IRs6IWQDuls+AUIWAAl8ANf8AYnEYwm kQbtlYZxyIZjWFOX/tJ51OCchsTXzOdB3eemoYOhCoxroAR0ZeNwairZaiHX8eNNoAI9gOqoluqp puqqtuqr1gNImIRuaBqNbs2fFuNXPupKIYZCaINBcIVOwOlvAs6/UIcOds5CsANUoOu6tuu7xuu8 1uu9RoVHiAPsK8+exlOwfuNsuYZgSINXOIdS6AVU0IRbiIXT6gRXwKRhwANwCIZ3AId04IMbSNmi jIc/uESdJu2/OAcc6WrBdlPCDuRFmSV2GIcuUIQcWIQ0SIRFqIToIsH/ZUADR1AEtXoGVxCEISDK r/4DrGpQtq4kgmTuxcAFO3hlr+ZM1r7kucCGbP2GKQAETriFRdAGM0ADK2gDLHCDPuCDB6kDKCg2 THgFOtBXkQxt5EYKa3AGd9CGV6gGdRiYm7iGeXSGNW0JYThY2UKK545u1f5S6nblRcEGLugFcLBt UKiFOoAHNGCEP3AFVzgHdlgFKtCFQ5ACObgDSECGNKhhCD3uV5YGL7AFWRCBc0AFQLiGYtiGbwCD dvAGMtoCU0BEbVAGQ0BOYdiKd3gGdAAf/jDw1MboP1TwIsYbXbgGbkCFWrAFeNgFdHiFdWgERqip Pq0DZliHOfgDZimE/6MybvlmOVHABDMYBTnIBHe7g2AwgTuggi24BDM4gykohkiQAhuYBj6YAiqg hTCwAjYo1nhIcp5eck4d4vW7AzymNERra8HYzxSfFGVIBEMwhkS4gmcQBD74AmrggkNAjHMog1yY hSqoXEQYhv+4hCKIz0AoFCSH7lUjmnbdZzZ28qgTHzL1dee09KYZhyLIsyigAmgoA0mAA3Ywgzro hCJjA2ZoBqPpBiqQBS74BlXYAX/Sgq2g9cH4BRrgldIm93I393M/96UVhkI4uAW/56YJdteJhE9a hFBIh0CQgzz4Bjv4Ay0Ag6Bph2jwhT8oA2wnBTjog3MwazE4WP7gBf/3DoxbWIEAWAHSQveLx/iM 1/hAQNd1yAJj2IPI3fV3R4p4Fx3CwIYAAwzHaAmLIQc7iIU+ANFKmQYY+AIucIOcdwMuQIFG8gGc 1/mgF/qhJ/qiN/qjR/qkV/qlZ/qmR3oucAJeuYU5iIdM0FF3J3n+MHmmrgxUUAVOkARZSGaldpxo aIVp5Y+2gAd0+Ia2/4Zu+ING0oNucPu6t/u7x/u81/u95/u+9/u/B/zA73t0iIQNQnQtOJKrH/ms H5+tZwh3aIZDeINm6IRauAZboAaMYVpaGIdtSIajGuvReyXA2IY2SAI/cEGNV/3VZ32T4/iWAAcy WAYduZBCgPRUju//RW2Gyp2EStgCLzgDyS8GRvhkPdCB9C2KRH+U0vlWbo6HZ6iC+BwdNZBaxm98 NM8TPoqHRigENXAHNYAHOmiDG3gEJogD43XuWvc4XMdZwOAGSNiCf7T+3J+LSyCaQUiEKdgGNWCH PNiDMgCIV3i+yIkn7SDChNLi4bJjUCHEiBInUqxo8SLGjBo3Xox3KNfDhQuBccEULyTHlCpXsmzp 8mW8P9BQVoxHzKQpV5C2PVo3ylgoLZRSmazZkObLpEqXMt3oESTCk7UMzTrZ9CrWrFo1xpzJ1eBJ sGHjaQtrkaHDrWrXsq358eFJS4OoIW1r9y5eil3r6l1oFaxfwEbT/+YtbNjlU7DazDTixvcw5MhN 4wGiNfYy5syaN2duN+ix5NCSE8cD1kWJVdGqV7eMh2dLnj6yZ9OubTvPINu6d+chUwU06+BsPWaL 1+xQrT3WgAtv3rxcMF7Sp1Ovbh3XJ064rHPvLqsVc+fil8az0y5UIHXx3FQLP/49ZM7yw74CM38+ /PxZ4xkiA8pdPNyw555+Bb7HHwPgEGggg1jFk4UlYQnYXoMVGniSEAEMs6CFHbp0jkgBDughic7F I04CAUSSWoktMpWaiBS6OONolQQQAAbYcEgjj3pNuGOPQbYWDxcLVOBBO0AKuWSMSi755EbolPLI NdtAeSVGTWK55f+LqGTCIpdhRvWjmGWuFM8qoThppodasvlmlmmuCWeDbtJ5Z0RoqomnkJm58dec fNKoZ6CCBhfPN5qIwol6kqABy0K26FKooSQSWumM8RQDxSyI+OFKF8dMQUw8ZODwDaWYVnipqiVq OgY8rpABDROc8OJOMolUckqqrZp4EFwnrTLJZr4WGA8vF1hhhjLaCEPHEMpYokQVb4BpbKZiBRbP MJUUiy188fiSRliIWCKNH4RcwQkqWBjTK7ihxTMNKvFAw0k8s4DCyCOEwGOMIn7QsUssf/BByrXx shZPMoiERU0dZdzxjJpoIqywi/FQAwY3XjTRTSFnUMFLHn+oQ0uPHLowg0Q0uZCD8XgsnlSWWQnD bKFH0AhSUiCACBIPOmDo2Ec51jSxiSvPwHvziwnVDOzSTBcWDytohOKLFaFYAkk868CxDTd7rFOO DcjUkqTUaavdWjQxHJPOCsJkwkUugHBdjtjdyMCLOOdgszbggWcZjg7TxBNCL6IUYMEMOuK9jjQr VLBABgAKfvl7AQEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0021.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = <= /td> <= /td> <= /td> <= /td> <= /td>
l We = want to wait 10 ms.
l Sin= ce the instruction cycle of the chip is
200= ns, this amounts to 50,000
ins= tructions.
l 500= 00=3D c350 hex
l If = we set the prescaler to divide by 256,
the= n when the timer reaches c4 hex we
hav= e waited just over 10ms
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0021_image091.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhTAAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABF ABAAgAAAADNmZgJ+hB8Zx6DZopy0xrcafrb7vmkb95XlmCEcZrYVCqmKHEss68xXmKMM3qvtYEFh cRUDFmmpIWzENCinpOUEh60qbVWr15nJ6o7GqPkr0omFxDRb23WHSdv22bv90fdjeRN9EycnxVd2 B/j0Ujg3tjjo18el5sgkmEcYeUVZx1MAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0022.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = = <= /td>
l Set= the option register
l Set= the timer register.
l = Problem the option register is register
81h= ex, we can only address registers 0
to = 7Fhex with normal instructions
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0022_image092.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhcwAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABu ABAAgAAAADNmZgK+jA2py3rIwnq0Jtqy3nzj3nzAM1WmNJLgynpqmx7XG5+qCOcrrju0bekJYSIM DkjzyUI30iepTBpPUeoMiYpAaygkN1v9UYvYq5e51C6xppItLCM313NIhmfu1vVpOSSYVyXIFaiB R4j49OLHZ1eImLjnkub2JwnJ9vjIWHioOahI11cGubl46VkKinpKieaI2WopClsJtkrbmBUUCsvZ ueeqCpyreab6GzmKd9ir+/k2KP17xLzlTFzbZstQAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0023.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = <= /td> =
l Pro= cessor has two banks of registers,
ban= k0 and bank1.
l Ban= k0 for normal use, bank1 contains
spe= cial control registers.
l Whi= ch one you access is controlled by
the= status register
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0023_image093.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhvQAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACy ABQAgAAAADNmZgL/jI8Gy+0PX4p0hYpzm7pj7m3JeIUhqCHmaqksi5ok+WZxdde4q3t5Nxv1ho4f UXQ4pngrVIwmUXGcQkAw+pQmsQomkpoETcEucqkFZQS34pnV/TV71evz+4rGT6r5fV3Rx3enV1ZY F8eHxwU3SHh4SMf2x9MmqWUI+JO11Rj44Yd5NhbauAmIKFiZSUlaetpXxGr5GqFqF9vauYZrK+ha ZQsbyGnqSAsRHAkXvMsLusr5O3o6bWd8Oym8QywblvucptycOBcnro2I3klhdC6czNyMLN/7Dl6i meu53u4JHIqv24V77BSRUyRtVkBoDAUePLYoTbZ1ugDmGRZNTrSEQg3FMQmHsB9Ha5DicTspRA6q Wt4UrnSGzZfIZ/NmaQPn0eMtJQU38vwJNKjQbQiHGj2KdMifpEybOgUS7qnUqRAKAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0024.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = <= /td> <= /td> <= /td>
l C = =3Dcarry flag
l z = =3D zero flag
l PD,= TO give cause of timeout interrupts
l RP0=   selects the register bank
to = select bank 1 use instruction
BSF 3,5  ; set bit 5 of reg 3
to = select bank 0 use instruction
BCF 3,5  ; clear bit 5 of reg 3=
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0024_image094.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhcQFRAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABx AUkAhwAAAAAAABgYGBYWFgcHBx8fHxoaGhAQEBcXFxwcHAwMDA8PDwYGBgoKCg0NDRMTExsbGwkJ CR0dHQ4ODggICBQUFB4eHhISEgsLCxEREQQEBBUVFQUFBTg4OCAgID4+Pjo6Oi8vLy4uLjAwMDMz MyMjIy0tLTU1NTIyMiwsLCoqKiIiIigoKD8/PycnJzs7Ozk5OSkpKSQkJCYmJj09PTc3NzQ0NDEx MTY2NiUlJTw8PCEhISsrKzNmZkVFRVxcXF5eXk1NTVVVVUdHR09PT1NTU0tLS1FRUUlJSV1dXVpa WllZWUNDQ0hISEREREFBQU5OTlBQUF9fX0BAQEpKSlZWVkxMTFJSUldXV0JCQltbW1RUVFhYWEZG RmNjY3V1dXd3d3t7e2JiYnZ2dn19fWhoaHJycnl5eWlpaXR0dHp6empqanBwcGFhYXx8fG1tbWVl ZXNzc2dnZ29vb35+fmxsbH9/f3h4eGZmZm5ubnFxcWBgYGRkZGtra5SUlJWVlYyMjIWFhZiYmICA gJycnJ+fn4uLi5qamoGBgZ2dnZGRkYqKiomJiY+Pj5eXl5OTk5CQkISEhI6Ojo2NjZaWloiIiIeH h4KCgpmZmZ6enpubm5KSkoODg4aGhq+vr6enp6WlpaSkpLm5ub29va6urqmpqbCwsLy8vLOzs6qq qra2tqKioqampq2trbe3t7q6uqysrLS0tLW1taGhobKysqCgoLu7u7i4uKurq7+/v7GxsaioqKOj o76+vsTExNvb28rKytfX19ra2tnZ2djY2M7OzsPDw9bW1t3d3cvLy8zMzNXV1c/Pz8LCwsXFxdzc 3MnJycbGxt7e3tDQ0NTU1MHBwc3NzcjIyMfHx9HR0dPT09LS0t/f38DAwP7+/vz8/Pf39/Pz8/Ly 8vT09P39/fj4+Pr6+vn5+fv7+/b29vX19e7u7ufn5+zs7O3t7eDg4O/v7+Pj4/Dw8OXl5erq6ujo 6Obm5uHh4eTk5OLi4uvr6/Hx8enp6f///wECAwECAwj/AAEIHEiwIIAeBhMqHIhwocOHECNKnGiw h0WKGDMmtMixIUGOGkOKHEmypEmNHUWmPMmypUKQLlt2nFkQZsybOHPqXEgT5cqdQCn+DIpxptGP NokqXcqU51ChT5s2TSrVYc+eDC9W3cqVpNGGX7UiPXowbFabWMum3Zjy51e2INfWpFqxLVqyYy/K zStwbdSugAPDPRrWo1qzhc+KxZrY6du+ZvNGfkl37uPDaQtXdiwWcmfBoEOv1HyXdGPMisGO3ox5 9enWeOt+HnxVc+rLD2MrDs0b9F/UklN7/szY9WzOnYvDJLx3OMTYyvXS1I2c8vHe2JfiBm61NtXo qqnL/ybu1rjH5oefPwXPHb3lqL+zy995ei/p4Ybbl9/+Pr/p8IvFF59wkiG233XV8TXfgko1Jhdz y613oGGv9ddfZOxZlx9tF76V4UR+scbgiDGRFWJpWp0YYGXi4WdhgtyNp953Ak4Io4ok5giUd+RF aN6LLaKnYormIdRierlJ+COErJmo5IY6RqkSkT7y6OBj/zkX44sFZnafhjPO9iVs7o25m5RojvTa Zabddt6YFXLZZY9xKgjjnBSaSRt8IqbpZ5IPelllgcEJOuCWboJZZp/N8Zeee/gdd+iflFYKGKR9 4gQpiAha6umnOrUJKJQsiVrSpKCmqqqaeloXaqshZf+66qy0QnUkXPQ5Gmuntfbq66/ABivssMQW y5Q3yCar7LLMNuvss9BGK+201FZr7bXYZqvtttx26+234GYE7rjklmvuueimq+667EIrbrvwxivv vPTWa2+4GFnbz778JstvP8juGzDAyvar7r8CN5twvAgvXLDD5jZMsDcGJ2zwug0PbPHG7GZM8b8D h/ztu9R+A0444oxDDsHflFMOORSb802y58wcsDnhrKwuOumgrA7EH6MzLznloBzON0D3Q47N6BI9 jjjhoCNwP+esM3M/6AiNbM3tnqOOOOKUIzA55qSjNdkBo2MOu9/0LM7PBKMDzssx20zOOTB7S3K0 +/r/4sUXYIThBzv9tPOHJ4D8QnUggogNzCDiANyPO4SA8YnY5+4LihhjgEFGKD8/LIon5kx8cD/B lGHGGGcAIozp+w5TyDumjxs7GmaAcYYhxOxrThodFLPvIYHA0887asRDMMff9qMOImt0jsgoK5NS ih+mSG0MG8csfsrUkgOd7b6otNG5G4nI0w8ygqSiyDz9yHNGKRSrsgjmzFe7N7T7+uHBKqooxQfe cI5kgEAZcGBFP5YRAhHQox+M8MHHvhEHM5jiB40QX7f2BYQOtEIVjhjBIxYWDlUggAw661g/XCGA R6jCFEEQAuGShQ5kDIEEtMtcP14hAEW8kAhFgN8v/0ogBkj0ox5GGAAz+gELErSDYOf4WD/o5q1+ rMMEcoiFLL5wgmb04wiKmMUa1OGNQRDAD/34BQpoAbBvlC5ma6tiP76QglKoohYniAQ5UoEEYCTB Fv0wRgF8gA5yvEEJAhMbv8pxrf09a1+SAMHHTDGEd3giDd9oAxD6MQk4IMAZ54gDJfj1jBPcoh+e UIH6ItaPJcCBX5VQAsUCNooyrCAQSGsX+VjwwH5AgwnRWN48LEGCJkijduDalypYYI99JcMJvuiH KtZwiRb0AxdeKAAm+mGJQUiNYvc4BDL6UYhcpJBbkzMBLvYlDiVIQhxycMY0SoAPfLThCVDohy7g MP/OfqSDFKgIZCaMJ8c5RIFfmDgCPAShRx/QoR9hEIMB8lEPNOxCctPQRDjkQQlqINNd+ZrWvijh AUMcYhVJiIQ+HvGKfpBhCN9wwiOkkIlnTAEY/DIGELpXDBd0j5Vt6MAfCOGIImQCYchoRyAA8UYV imIAg8BEKOTwhmbyqx3xEMUaeqdDWwyADlItQx3g9wdRfMIE55ADG9QQBnlQYZ382gcR7OAKElRD g/q6YhIEUQtEVMEazmiEO9pRgmu44gWfsEI4wBAHpO3LEUxQxhXS8M0N9uMOKdiEJhKBBUfUwxDY 6EcSvGAOFICCCK9wRhb6mUYYhOIPL7jHR5/lSGf/7UsTB3gCCQJQhHboownjbAUWoKGFUYjiDq2I AT6wEQtffAINr8uGDELLSjwkIAszCAAiwKEOZ8QiF+7Ylx0M0VSM9cMWCqABDAJwgnEGwxW3GIYy 5cBVVuYiveslgeLC0YRfaMMK1XgDIbaRB1vMQBvogEYsRtGOX2yBBLiwHTxEIIIWLIABsuiHGjix ryrU4hBr0EcaUBGERcxDFK44hjomwQIw1GO219qXGxCQhRQEIA/gyIYVjKcIODhjC9sIRSAO0QF5 VCMWoIQGDZoQWhg3q7YK6wckasAOe8BhDetIBhF+9o5ItIET+niHDeawhnZAYg6TKEQdXmcMGSiO /5VaAMI4ghGESXjDHY2YAycU14/xlvd0sfBpO+ggBNrp4gtfiMW+ZEFfJ29rX65wATXcYYctcKMf 2TDCOsbRCC+AQRjjyEIZlCCOdPhhDnboXQcCUN8quiMEmQiHLrKQC3TAIRH7wsUb1iCKb4yBClLI BTbGEAdP9IMX2sWrvvqhByvIgxtCsIM6dIHIfmjDDW1oRDqucQM2uEEfnZgDINxRDgIU4ByOZhaU mbWvRuBgHf3ghxUsgYlPrOwcZGiAJbxBjiysQBfosMc77JEPJgQ0FVH4czL7oYRN9sOAtPCGwOOR jn3RYREKRxf5cqC4cQChDuJYxzve4Q6KyQIPrf8mlzJzEIx+jGMPaxAHLgghtEw4wAvo5sMDKLE0 e3AjHuiAxRWqkIbINc8dJijESK2wC0m8rh/TgIAL4MeKCMjBHeK4xzvg8Y42SKEKTZYjG4yAbmEw gRKPCGg/wOGFCPBicilAgTPMgY93zIMchjgCESShbHWHVFr7AsQIemmKAkhgGpKjBAM+sS9LTGAb CJvyD3BwSh0KgQul68clSJCPhoXBEhnXoS4K0PJ+YCMGR+1XP1AhhpbrUBYF0Ma+gGGCREBBFPt6 RQQQkWsKmAJh07CCKdwhhD9UFp3tcIEm9rUOLVQgDJlfRwl0sC9hWKAMUlTHHfqwj0X8IIeWrYP/ D+CmiQvcQLYUAwMGovmNPiTgxfxSBRKOkQ0kqCLdylo3u59RjTiagxaYEA6Sww628EDeEA/dwEj+ cg660AiqwDQRowzMEDD6QAvhtSzL8Ax5o0v1cArpkCyjkA3L0g/2MA3jgH/jww+2QEbIkgvTQAtP FD+jQDuT0wsEFTDxgA3ggDrWAIHcYg6jgA+S8wuHAA0EQw7AsERrFw2vEzDpgA2ypQ/R8ETNsw3Q ADMu9wm88E39wA29sA8A8wu+sIE8uC/W4Hr683citTELUzEQgzCn4y9Jg4K2w4bs1neWtTwgI0Ua M4LgU4d66DB2+DB8uHCBODFuCDtwaC3vgoez/ySHI1gwUYaCjniHkshuj0aHkQiJmKgtjqiIsHOJ m/iI/EOJfkiIAdOJpFiK6SaItROKoriK05IR+3JMEnOLuJiLupiL7gBvu/iLwBiMXSiMxFiMCAN0 xpiMwggOVqWMzriLtviM0oiLyJIRutAKO3A4pLCN3NiN3viN4BiO4hiOnmAFV+AJ45iO6riO4OgJ kFACnoCO7DiP9NiOInAG8liP+kiPntAHNZCP+xiQ6ugJhOABrACQApmQ4PgK7VCNGJEFU4ABH4AE FFmRFnmRGJmRGrmRHFkAO8CRG1kESTCSJFmSJqkEIKmROuAAKZmRVmCSMGmSUNCSGHkBKECTOP+Z kxyZAgOgkz75kxT5BArgA0BZlDSZAaAAMBlRDvtAAoQzjVDJL3cwCMaoDJBwlViZlVr5dsXIDR1g jOaglWKplfpgjFTwPVEZlZ/ABWmZluJgA20ZlVywTd6QEfQQDyPQhNWyiFLkitjCbGegiRSjC2vQ B4ZpmGuQmIXZB4qJCLLIN9twApUYMOyQmIfJmJZ5mIr5U/rSBbogmJM4mY+UCEUAmhrzihfzl/hw A0aXh8m0BctXlxhxl3mpiR5zm6kpUnoQmH8pjH8ZmaLZl8D4l55pmg/Dl55ImqbpMcJpnP2wmq2Z ibnpibCplLOJl3oJeOgQC37wB39QCrLVD77/8AiU8AemAIb9kA+a0Aqhs5e7CZqmR56EgAmKUAov 4wt2EAnGEJwBA5yCuS/EQAmO4AegIITrMAt/IAi78HTjU5yPhg7XsAmUQAmaIAj8YJwBo5wx1g/W 4AeUkAiuIIACAwycYAfR8JgiBZ0YSjHqcAtq0Ai9s6IUU52ySRG0mZ18kw4+YASTAAle4AQehQYp 8AVqsAdG0A7C8AaV8AaIcIHUApjw6QppkAYrsAVmsAn8MAgtEAmWsASTgDkx5p8bigkWkAdq8AY0 AAzEIAM/QAbA8wf82Q8O6onlYAq5cwk3kAAp95caumx0IANxQAdSkAWK8w2G8ASLwAkd0Aih/8c3 Kvpo8uAFWzAJaVADuLei/UCjdomdlJgOKGAJ30AOzyAEkdAPQoAFWEcNAqALhuAD+0AMHRAKlQil 2aIO7sAPM+AH7rAPiYAC3ZAO2yYCqRCc/SCmy1YJISAN4tAOPBAJ0FAAqgAP/OAHUIB4xPmZ3EIO 4dAO+2ANOeAGYJqcpbmhfcAE87AO3AAEbrB6JnAL3AUKImAN8Pmo4wNRTKAN5rAObvAE7Umdsbmp tfmk6dABdBAP7IANWqB0XCAF6WAOwiADtbBh+9IEZDCr71mv/RACb+cNeKAF/4IIeVBxYSqZ/9kP i0BlZZNH1zADONUP4tACGfSfc5qJ4cAFaP8gsujUp3vZD28QBVLTD7IgAuzgBlTwL8gwDuNDr38J D05wCYv0DD74l5p6nQErUuDwAgLgAgOAAYPwM0DAA4wACHuAB8FwB4ewL0JQB+fENxc7PuggArXg smhQsR/jCVsAhiNLrP2gCAJgB5DwBkSgDdMgA8HkT0+wrjKLreh0DmtgBQa4QTr7pDwLBRXXD70g A9kQBnkQiEnLms6JD1dwVH2ITlNro5wqsB0QBsWwCCrQsklQA7PAC6SwUXewTf2ABHGwtvzTtn/5 tnFbDlywBP+SCWXQr09qrDsLCQVACaDACrKVDIW1L+DgA4ZArDNbr6uABbInoxQTuWsIuIr/1Ash kA960AT/gg3s0LnRGWPuAAJOSzHj4Ass+GilOxE32qk1kEHkwAlXAG9Y4AX/Ug6RUAcAgwSEYLG8 6bZwuy+M8AHPsC/zwASCkEv6grySuwgwoD78kgwyoAz78gk04Azweb3YQg63cAT7OZ0x5r2Ax7NE QDHlAAd48A2FUAPK0w/RsAIt9Z9KG2Pk0LEn2A+YoAIvRrr/SrU4yj/qwAKl2g8RPAfoEEM/m3sn 8AhpAATH5J4J3LsWYLv1oAZWMAiLcAVBQIN5W7KIEANlucEMUAVqEAc2UAnHt5ckrC/2EAIPQAdg gGhoKK4l2wcVsFZLoAPyyg9mcAWdwAld/3AHN6gvPRxj05AEPwAIZsAEZ4up9SsR91st5rAIucAv qMAJ5zALoECG5LALVZAE36MvvIst38AI1xAw6wAIQYAEnECFv0myf3kKgDC/JDgHP7AEXlAIFBxj dbyX9eAGfMAFzMwFwoOh/cDCgCcLfLAEP7AGStgP7IAIVFBijezInotO09AHQyAEoICi+pLJEbHJ ksu5qbkvjPSfrdybcogOiuSJFryzoLiH14qpEgO549qb/Lwv5/CznvjIvQk33JupR0wR7TAPI/DA cSmNZqAGEy2N1IADFy2NQ6B2G52MhbAFH+2M9nADQTzSxSgEDT0R/JAPVXsv7DbPMN2fuv880yN4 zDAdzQFt0wWD0DP9RXSZETcwAhqQAidw1Eid1Eq91Ezd1E791BWwAU891VRd1U6tAhxg1Vq91VMd ASXA1WAd1idgAQ4g1mat1SHAAShw1mz91APQCtZJEcewDSBwC8tADXid13q913zd13791369DGgw B3cN2IZ92IjN18tACy+wDIWd2JAd2YrdBJjw2JJ92ZC9DGNs2Zjd2Ya9DN3QAcnA2Z5d2nz9DB9Y oxOxLyeN0sRoDpnn2sTY2rINjApd28O5g7gtjCK628HokBQhMjyNisPNicVt3MfNn/Ci3Gt4nKiJ V/p33NI93dRd3fRCDlWmLOkgDFKzgRT/Yw/FgLdPpobWXd7mfd7ojU7m0AmIuy/IcAfBcAg7GDDM QAdzcAkz5HfBnd783d/+/d/9YA58EAX7AH/rIAu8UABN2A/hYAWdsA1ogAaPGd3/XeEWfuHyEuBx YAGIoAetgA7kGwULYAiKZA004MG3UAJlqd8TgeEu/uIwjjHmwAYucAqeMAWjkAwQkAYycApr0w+3 AAcPbA0HhkwUHuNInuRKrjDgcAdpsC9OMAjRgAKp0AEnaHJycEzYUALyyuISseRgHuZivoBvIAb7 YgSdgA0jMAsnQFCmlwTyJQoq8Lj5R95jfud4XuEBjgc4YA/AEAKtYA0uwAs8oA1YGA5MZ+AH9GAJ m2vkdp7nkB7p1h3gjLADPOACjGoNPqAMTxAEVAjkIDAFH9DHdb7fkn7qqC7d5BByy9A9/fAN8OAN 7MAMldUPObji423qqb7rvG4vcIicyFnqLd7rxF7s/n3kxp7sym4vAQEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0027.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td>
if t= his bit is set
an i= nterrupt will
be = generated on
wrap= round of
timer 0
kee= p it 0 for now
=
thi= s bit is set when the timer0
wraps round pas= t 255
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0027_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBhwAAAAAAABkZGRYWFhcXFxoaGhgYGBMTExISEh8fHx0dHRQUFBwcHBAQEBsbGwQEBBUVFQEB AQ8PDxEREQICAgkJCSUlJSQkJCAgICIiIiMjIzQ0NCcnJyYmJigoKDw8PD4+Pj09PT8/PzIyMjs7 OzAwMDg4ODExMTo6Oi4uLi8vLysrKzU1NSwsLDc3NzY2NioqKikpKTk5OSEhITMzMy0tLVFRUV9f X1ZWVlpaWkJCQkhISEVFRURERFBQUExMTE9PT1VVVVdXV0lJSUpKSllZWUdHR1JSUlhYWE5OTl1d XVRUVE1NTUNDQ0FBQUtLS1tbW0ZGRl5eXkBAQFNTU1xcXHh4eH5+fmtra3Jycnd3d2pqanFxcWNj Y3Z2dnNzc3t7e25ubmlpaXl5eW1tbWhoaHx8fH9/f2JiYmRkZGdnZ2VlZXBwcGFhYXp6emZmZmBg YH19fXV1dW9vb2xsbHR0dJaWlp+fn52dnY2NjYyMjJKSkpSUlJeXl4+Pj46OjpCQkJOTk5GRkZqa moqKioSEhJycnIKCgpmZmZubm4WFhZ6enpiYmJWVlYeHh4mJiYiIiIODg4CAgIuLi4aGhoGBgbCw sKamprm5uaenp66urrq6ura2trS0tKqqqqurq7GxsbOzs7u7u6mpqbe3t6SkpKKioq2traOjo6Gh ob+/v6ysrLy8vLKysrW1tbi4uKWlpa+vr729vaioqKCgoL6+vt/f39HR0cnJydfX18/Pz8LCwtbW 1s7OzsHBwcfHx83Nzd7e3tPT08rKytDQ0MPDw8bGxsDAwNjY2NXV1cvLy9TU1Nvb28zMzMjIyMXF xcTExNLS0tra2t3d3dnZ2dzc3Ojo6P39/fr6+vj4+Ovr6/Dw8Ozs7PT09Pf39/Pz8/7+/vn5+erq 6ufn5+/v7/b29vLy8unp6e3t7ebm5u7u7vz8/OTk5Pv7++Dg4OXl5eLi4vHx8fX19ePj4+Hh4f// /wECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AOsJHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuXMGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGj SJMqXcq0qdOnUKNKnUq1qtWrWLNq3cq1q9evYMOKHUu2rNmzaNOqXcu2rdu3cOPKnUu3rt27ePPq 3cu3r9+/gAMLHky4sOHDiBMrXsy4sePHkCNLnky5suXLmDNr3sy5s+fPoEOLHk26tOnTqFOrXs26 tevXsGPLnk27tu3buHPr3s27t+/fwIMLH068uPHjyJMrX868ufPn0KNLn069uvXr2LNr3869u/fv 4MOL/x9Pvrz58+jTq1/Pvr379/Djy59Pv779+/jz69/Pv7///wAGKOCABBZo4IEIJqjgggw26OCD EEYo4YQUVmjhhRhmqOGGHHbo4YcghijiiCSWaOKJKKao4oostujiizDGKONXdlhC1B2XzCiRFU3h gQlRmWiiY0RXMJWHDTfkoceSTDbp5JNQRinllFTmgUMOSlKp5ZZ67MEHl2BO2UeYZELZhx95/KHm mmy26eabcP6RRx+ApBnnnXi2mUcgX5bppx6C2KFHnoTmmYcgfdhZ6KJv6sCUBRAIYMGklFZq6aWY ZqrpppxOOgABnYYqagEGiGqqphcccMGprF6KAAYZxP8q66y01mrrrbFqgEACuPbqq60aCABqq8Ra oMACF/yq7K8aMKDqstDeqkEDTG2CxRWbZKvtttx26+234IYrbrZZaDHuuehqsQW67ILLyQ6dtCtv tzwM4kkm+Oar77789utvkD3w8cm/BBfMLyhcdDHvwtkS4kMnBkdssCaF/BCKxBj7awkQTd0hClGj gHISKTma5AVKX1hzDTYst+zyyzDHLDM29XBhSz0z56wzzPVoYshJtxyC885E61wPLmAMXfTSPJ/M FB9CDoVHKSd5MohJ2ISRzUlY5KKNNWCHLfbYZJdttjXbiKELN2e37TbZ3ZgiyEm7jJHN23i/vY0o X3j/k/ffZn8TRlOBnFIPOIgnrvjijDfu+OOQR16PIagcHvnlmNczCiKWY+554/WEQ4Y3nX9uOuIC bcGLOOO07vrrsMcu++zjkFNGL9rQrvvusX+TCiCln256Pb5Y4Q3vyPNOziZZlJP887ObQwbhhgtv /fWPT1459sNvHjz32YtOOviZ16M669Cn77rtuKuvvu/Ak5958ce7n/7yzdufvvTUfy///9mjnP8A mDjNcY6Ajgvd6AZIwNStTn/QY1/uIIg8+DGwgfSjYPLw5zwN8o5/TCncQMAhENQdhIQjNGEJS4hC BBZQgJcjiAoLIjnvJVCGNFyhDEEnvgEaBIWH02EK/0FnvgfK7mvnmKA1tHEOdDgRHdxo4hPRJzsJ 0k4b20CHNdKhDSeybRziOIc1nogOKsLOgtnLoQ6ByELQZVB2SxyHNbjhOm1wg4ziEMcTJ1hF5nUQ jurYBuvSoUconiMd52DdHZ14juhNL4SGWyMbcZhCNZrQhaiDoeQqeULIGdCHlByhENu4OAWOj4ih bCENb3i+2YnDGklsnTVeaUducCOM3NCGHRs5OyvCsYtRBGMumQhGMQ4zmLJD4w0RosodutF4s4vj Eq3RujzWMoriyOUtacdB2p3jjl9D5DBZl8hx2FGXvIwdCJciwnH8Yh2qEAfigBGMXQwiE6hIBC/q Qf8ORRBCG0EExhdWgThh6OJw7PgFJkmoyeyJwwxnOMfhhsEOblxiEXdgBDFqeEDGrWMTeMBDMQ7H CowmQhPtMIY1wHEMjOJhFOHg4QJBx4pE4NMQyKiHOFKRjHq4QxnrIAVGB9EKULYydtZwxTJ60Yk8 IsMVwAjEHhiRh1L0AhF+EAQhWIGOXt6Oj69DWyjQYAdrqOMVdSpFMNQhimEwYxBZJQQnutq73zGw GYmABSwGgYt6OOMS5QDHL9gBjmcM1RjZeyPstJELUFgjF6t4hzjgEYpL+KEPjdDDMVgBCEDoIRZg 62P+YpcObrDDEWk4BjnQYYxA5MEQy4jHL2TBDlT/+AEQf3AGWF23TqWIsBmFaIcaXiEQQLzCDj7o wQ9ssIl12GEDG1jEOurxDjFwwAasqAcexiAQaDiClP/T3gXrUQ4/dMADfyDdH6CxDB4EQQhDWMV4 bVjKbKyBCEVYwzDqgQX8+oAN2SgEMOqRBSMU4Qhq6Mb3TLngejwiuT84wsdSgQNIhMMXfwhHG36A hCRYgYREPGpYz+EGWWhCCe+IBzTGQIol8OADQ+BCLHTABCA0IRHm8Gr7YqcOXBTBACBYRTnMEIIk BGEQ3XhEJobhhCfYWBDxSKZdE1iKIxhhBz5ABTm+AAVZ1MMR0KiHGaJQBCYkAryoU+zr1PEMNpzj /xlHiMY2pPEFNiRBBE0YQioOEQIfRKEQ50jn67qJVHTgYQQEeEMyspEIEjDhCJEgxyUe4Q4bRCEJ T4iFOmTX26SI0BlfuIYInHYGULDCDySAAyCSsYwSECIOQ7gZH0ywiDQc4RqAGIE66rEJ7l7weuL1 ZDFGEAlJnKCvhxCFM5oACEE44hfz7aji6iGPI8ghET+YAziQwIXMpgIbcnhGPZRABzvkYRDlWHAP GXe4WPwBBWgARDTKsQRCQCEZu7hCOYAwBj5MAhbX8J8DzShLcYSBZAlwRjZkUQZoTCINKbgCHmAB gkgUAgyd2HQVv4rULaNgEj54gjiwsIQ/KMEJ7/+IBB52QQJJXFwTdD3jlN24hx8wQRDPcAUOHhEG cJhhE/V4wxvssFU0k1DN6ytGG6xRDAfMohy5aMMfCFHkQmCCDjuPAyzkKNo/rnkZKGiDIlTwB3BM ogeTYEMJdAELMzBDB1+gRCV8sdvWdRopn84COEywAtLFwRLqgEcO/gCPcigCCOyYBhMyAY4k5MEc uDCBMBLxgF3UAxM8+rX1gn3DMcBhHvQIAiTqcYhNQEMM9IBHMMjB0QXH4waqGMcomMCON2yCHbZI xzW+EOY3tIId7phHO2R6Ssadgx5ICAQ8vqELOATDC7R4xhXMIQVjzCP312ClEcNqcE58IgB8AIf/ LNJADV7QYgq/mAYspKALYAyDGoIeNMdhxw1egMAP9LAEC3aRhT9IgxQhUAuOYAi1UATK0AvDkAvU VFfx4zjqMA9ewAbzEA+OIAjVYAPwcAY/QgeegHvckFjQFDvkAA1wYA3GEAFikA3IIAWswAxSkAXJ EAxbIAi8oAzJwE1+hFTmwAggAA22wAVHUA6E8AXI8AtDsAijAAa5sASicAvNMA0LCDt3dxQihAt1 gA1HsAD7VGoCEQaZUA/r8AOeIBCBkAnV4AToIBBtYAijIAGTUA+i4AZGBz6cBzrZsAS1IBCxIDSF IArQEAnOlED0NW3bQAfsUA/ZAATNUAfwMEJ1/yBubEANgVhA60ZEdCBf9VAKgDgKh7ALkkAOWLBr c4hCIuY6szQHpAAKE4AG4aAKaTAP4VALSWAN65AJciAP5hAP2pAOOlZ38dAKOzAP3/ANWGAJVnAK 7eANRXAHhIAHrDAH8fAN8cANvMiADFRchSAQYtAL9bAGzxAJQFcHBzWKxBOCsDOCaGCCBRAC58AM NxAN9RB37bANYdAK7RAPMSeCOQg7aCMGktAO5WAMN4AOaRIO6wAGZjAKccALXUAP5RAPBMdbj8RO hmOF5cAFIYAH9SAJIlMPdFA53GAEzCAQvGALp6AEAyEIYDAKRtAG1yALcqh5wlOH7DYPRWANAv8x DiPVh9BwBpPIboNYQNuABfOAiEAwDHVQDY4obnMgDENUSpXIbuYzCwIxdfWwC6VXCduwBThJjkUU kafICbHQBETgDruQBvTwDZjwA/DgDZmQBeSQS1EogvP3OvIQCHRADtrwDaSwiKsQDt4ABXjQjLJA B130NbOjTESkB5UQOkUgiZJACoUAdF+wX+SIdK2DjtbgC0zwAbvgDjegC+3ABpEgD+gQBqcgD4jZ S/sYVtZQBKDwDegwD6OADnqQB97QDmbgBgrJC23ADIJEO1NoFFVYB93gBnWQBqTXkVhgCvUQDEmw a+CADevQB1kwEJ0QBndwBUngDsUQky5Ek6X/BAw4EA4DQTqRIArPwAXpwA7vsDWeFJSoM5TuUA/n kAS5EAbHcA7wEA/1EG789QqTJZ3sFpWlVA9i8IX1EAl7UA+6UAiccAjxkAbKYA39aVTbZ4riMAfe FwZD0Am/gJbm8Ao/4JCzsAbE0Au6QA9zKX87ZoryMAZgYA7fxA7WwAae4A3oYAOe8Ah4UAtwAAy6 0Avu0KKto5hSOQmSUA/mEAT0UA+AEAuKAHRcYAniMA/plkCYWTvQkI61gAVUoAfwAAe9EA5zcAiy SQZ2wAv0ZKSZ2ZquIw7T8ATQQA5JZAvmQAiTIA/yEAaO4AlxkAtQUAu3cAvv4KbDWRTF2Q1e/6AJ UZAOjsCczskLR2AOQVQPfpA0AjEMcNAIqdAFl4AL4IlA4jltqoAD4LAOt0AMRVkIrKAMLXAEPlAF NxOf0lZA3yAFiyALWhAE8YADPbAEPvCFXiBuVeAEwvoz6jZTRJSgAhEHjVAPy/AIoXAI2bADRkAF PtCRIZahBcehmRAJdDAGw7AG9DCiJeoNmuABQ7ADVDAM+eiiu2UN5oAFlQB1r1AM0zAHXtAJkOAC uuAIePAMK7ADQ5AEu6BxMteARKSk9YAOR3CIg6AJAQB0awACS1AFI6ml5jhoXWoNrBB3OCANbVCm Z/oN2/AGLPAESaBarDlar8MNwtADykAOxv+wCc5AkG3QCojwAq2gkNOAAmSJBbYQkeOQqESxqFzA C0IQCnogqdJ6BAqGQpMQBwOhCzewB6NgCGGwC2YwithTqgXECkVQD95wCHTQoIrACsBQADAQAyaQ U7a6YOVgA0iQBTLQoEmgASsQA3xQD8VaD0IwAy0QA5SAZgx2Q84qZn+bC3lQreEwBRbQt6lgdAOH VBvKCZdQCKgABKygBudKovTgDbFgAB1gASNQDOTQi/wYD1ugCO2ACWvQBZ3ABVOwBVOgBOSgcr+g AJPSApuwutaYRg7LDUdQlHcQABVbD2iAAS1gAj3FsfVzjh/LCmEgC1OgCmtgsmgaD2vgABz/0AKY sA0v63Wtww3EEAXAEA+TgAZcMA+C8AJl8ANN4A6lEAe2cAIZ0AE+EAx1d7QT6VsVaZxhwA56cAWP UFT85ZzJYANTWw/XoAdfKxDPMAeNcAnJgAOXsKQyeTpim2ZIUA/dgAUhIAT1QAmiIJDHIAu+YKlz y27yQAVrYAZ/oA3YgAZ3UAysEAz/KW5qgAjQwAqSSHygtLiV8Le8oAfV+g1KMAu+wAr1qX1gmbmX cAbCMASIQAehW6Ll4AlBcAqfoAnMYLS1U5eyJDiRAA6WwAQx0Ahe8ARfIAeqIA+SgAfHcASesAqn gAxGi6QH6rDkEASNqLzLGwZ7AA2q5UNb/6qZrCAG7nAEgoAF3PsN6oAFZtAKn+Ct6wOnraMNy2AE zWAOVjAFNFANgSACWcAGp1AOdxAHyHAEi6AJnDAPiBrAnjbA3UAG8GAMR6AFnCAQzVkPtpAE3CAQ 7HAL1omdV4AItBAOQiAHh9vBw9NQ7NYMPoANKdUISgAOKAwNRQK2qCOfJGQOaNAJ0XCI8oAFklhC j1gPYXALTzltBjptCKqglDA3xPAInKCVW/AOYHu5/EjFYBAPUPAGbLDFDpkJXBBFbro+Ztw65SAH xhMNoaAEeWAFkGAMznAO5lDHsoAFsxR/r+PH9Oyw3yAEtkDIy/sF0AbBIDi9HpuOrPAG5P8wBlCA BbpgpmjKDWHgCeawRTgIs3EaDD3wDNugCotgBMmwB2zwDMXADuWABwvZBsjQVdWoTraMd7hMBsBJ BCgAdAvMpFTQU/XQCYRQC1IwEHvwCIvwM5VQA1YrzZ/zwSSUDjzAw/VgDXrXzY0Zz0B5q/NJB+kw EN9ABzfDzky5T3OYuM2qoHnwXbUQB6yglVhQzF5Zit+quWOADXrQATfADuia0HXwDeSgDmRcxi/q OvIgCEKwDdtQD4zwB2OAUnZKDh5NBuSwDeRAxiRdQPXgsOGAAyq90s7g1wW0yNW7BuQQCy0wBMKg 09/A06vQDa8U1OYrR+LABKngDd4QD2X/cAuC4AfzKA7msAhTLQ12KpxZTYVbnVNzEAAfE9YIygiH Uwd1oA024JTZoASjQAtnpgoUQAfgvHnUXErXQAUaWQ/F8Abc7IcTnH2tx25bedgivAW5AMH1LW5k wI0QTsSKq6CooAX1gAqVUAySsA1lUJQd3q1TzKGXMAbeUAwVEAX0KrrlkAlz8EpXvXGpnZmsIAPA sA3mMAZ54Aaz8A2NZNt4IAtigEg7vrDX+Nsc3AbQRsiUaQz/jNwyvQbnEAwcgAHIAN087dMN/aZC LUvxwAZpQA7xIAxBsAxaheT0at68AAdVrTtIOxRV+AXdQAcXPgsBQFzmU7n18Ad3fQsk//AJ4JAG XsANrsAC8GAInKMODrAGAz6TBU7PjuAD0vAOWAAF9TCZz4AGq6oM/vzCpZQ20xBE5dAGn5AMvcAL 7dDOa4AKvNALz72sxXegZVAyw7AF5lAIniB95lAFnJAMwIAM08XimBsGnAALVhAP6dACIlDjT2Ci QlALsoAJxCDSmfnQYGQLTRAHySAKLtAHY5AJUVY7Z2AIqsCEtYAJwOCmvW1ChOCTJ5wK5xAA4xAA P5IFWC45yL10rJAG79ANS+AAuRAOYVAJ0U0GnrDu1i078QALL9AJuUAJL+AOfgAJ624OeGAGvHAD uUBH6t0/zyAG34AGThkMBICJcHBm0v/qBEwQrBIVCiSAAyPABpjaoPXgAzhw6R6c6dOWDD8wBEfw ATniBpzwCwLQBDogApxzjeLMT1IgDUHUDk0wAlHgBDlAj8dQD0ygAl1vAxJVoMwqlUpACwKxDXOQ AzgAD7IgB97gAi/AA1OABrvu25iN3b6XClxADt2wBi8wDt/QCVPgDtkwCgPwAR+AAoSwDW7qS7CD DnswAp1JBMNAB6aQY+OwDVbQB8UgACQQAihwBepgpPWOQofgNL8ABAFwBuuQBa5QD2VgeQLfsa6z Db6ABNaACVAwD97gBw4gDNjwBm7QDdywBrDg+RMfO9xADVCwAUDAAnJgDopwCJ7/DYz/IAcZzAsm 70j9kwyN4A2AcDPtoJ9VKeiT0wIlYCOI6AUwwAJOeQoKnAqKIPTTvD1pRAspsAIAcSVcPVrNmIUY kVBQvXrgHD58WG8UooYQwdX7luddw4ZcaCT8QQ6RsHpgNiTUYa0ixHrhyHhbGYBlPT2sGNbLtMJK vWZ41q35OCIITIsOGW7hJW7cUqbWzk3a5SoQt3iXxIwjV4zNvG+1TNQAeyieNaZlyZXppa0sU23T cnRQActcHk7klqJjFOtWCLA1vJA7t3bct1SAVhZleGkRw2xSAtSqN2hYPT4ki1qs58uKN8Hj0DWr ZM1YJHbkdlXJJW/SoG3aCL2y25kp/7lNWcrJVtdKhYUgt7zRuhObXCw70iQxUyt7nDkyN50/hx5d +nTq1a0zDHSqnjxx185lYzgvHkNr5jia2+VMHsd0tXpdq6eOHEN1Gy/fx3+5niFUh/V/M6YWcRrS pptseNGFGF3G8Q+ziRoEZx1rBnoIHmIU5GUdbcqph51bFFwGG/1cIgqcAGRiqTyO4vGFHoy4Aced C3WhBr+jkpItGXem4cWpapoZ5xx6iAnSFl9EYUWUWwLr7Ky0OrNGnF4+IQUebZKZhslzcqmGnV2Q FKUXJtcizLD86kGHG44CKIZDcbqpR5z18rtIM84EEzKacegRJkh4hplHnGSQCQzLMf9lo8022c55 R5RZcBFHHGoIXeqcanJhJ5p3lFuKues+BTVUUbHT7rmLGDJqJedSvenUVOmE1Ub+IMRsVenolIgi /VCNKLpTV0WMxIZORHGmXjlCFljE6kFKqc64OUccbtIZRxt0lhJHHWvSESeebb69FlG0ksMznnPQ UcczZ5fiRptzvgVXtjJp7dWoAFDFl96I7JTNmmvPxVbbcbjhht1DEa3tNtnQsau1dLQhdxxp/SWL U09HxThjjLPj6KKIPjb22GVjJRmzWWPl1eNfU74vV1r9M7UiU0d8idgTEavVqJXpvXHdtSqueJyg hz7HqaAFczLin8Vh8mihlzK6aHn/C9PXVYfu1VllXPntF+qmvAabU6wS5jTaoX9+WuyLNWa77ek4 LtnGuOdu+WSU6WbpQbznbumlemzeG9aexSa8cKzG5dRpwwWbt+Ri6c5ss8UnR5rsxCmXbW23N98c 7sA//3y//kAn2WXSBRfWxJtPX7ZZzF9P+nXKGyf5cb65ln3xRBXO3XDNOQc+Y89ZJx5Xu4vXT2/k g/V79eVTdb13w2OXnnDaYXUectyr53R37gn/PXjxQR3+efNFr5p1082PSFjblx/8++4Rl39qM2N9 P+7I76y/Scv7F0z4xjdA6pSPfchD3wEjorwD9s0b2Xte/AC4FupNkCnXo1P+SrY//wtS8H8dXE5z CDjC6hhQgeo7XgMZyD4HarB4EgRhBTuIQfy4sHTb66D3QNgpEZLQh9Ax4QlJl8ATrq+BJLKh+piF ox0e7kk7pOF9kig4HFpQhzsU4A9JiIhOaHGApvCEF+thiTuIMQv38mIYbNGNeLTRjW+EYxzlOMd4 hIMOqaFjHvUYx2vMwg6fQiPbcBGHeuzRkHsMxy60UMhDNlKO68iCGL3YiFhIMniLgIUYP5EIL15j DoH8IRaogY5IldKUp0RlKlUZKXOI4RbqWGUsZYnKcqBiD9cBpcag4QZszNKXszQHK74Qjl8WU5Xy CIMlfQgHFzgBDjeAZjSlOU1qVv/TmtfEZjbhgAIQwKEN3wRnOMU5TnKWsw1wcAIKvGlOdrZznDcI QBWemU160hMOcOBAEnAQBH7205//BGhABRoEJHTgCfscaEIV+s8ckOAF88RmAOqpzR20oAoLxehC kdADGEAhox8VaA48oEwSuiABFnDBC1S6Upa21KUvhWlMZTpTF2QgARsoQU51ulOe9tSnPy3BBjCg AJwC1ahH5ekJVBAAFqR0pk99qgtcQIANmECqV8VqVrW6Va5eVQAjsGpXxTpWrXIgAU6NaQCgGtUY KICsb4VrDRwAV7qKtQAkHSE8DoEIeNDDr38FbGAFO1jCFtawh4WHHg5BjWY01rH/j4VsZCU72WZQ AxJgkAZlNbvZyPYintXo62FFK1p4wKMN0QjtaFVLWHisYRipXW1s/QqPO5wBtoMNgGxZOwo53Fa3 qoUHJ+bg29+SVgx4HSEeMIHcto0CD/XwRnSlO13qVte614UJLBoBXex217vUDUcA5iBJOcDHi274 hhdVMQjr5FJjzciDGJlBCTFegbkDDKIQQ7eIQPAOhPIYxCT418HclgE8RawHGdCRvtPVIwvzYDDo 6hGK+0lRfaooRIQlDAxCCrEeXrhviEU84hG6l8QnBp6JUbxiFrfYxSs+0YtlPCoVz9jGN8ZxjjFW Yx3fmMc9BnKQhdziGA9Zxz82/3KSlbxkHyKZySJ28pOlPGUqA7LKRL5ylrW8ZehEmctaLPKXxTxm JXuZzAQ085nVvOb7ppnNKX5znOVMYjfPWWN1tnOe9ZwxPO85VH32c6AF3eVBo7nQh0a0lRMN50U3 2tEMAfSjIS1pShc6zJUWVaQxvekpa/rRnuZ0qI18aVG3t9SnPjOoG61qVLd6xqxONKxdPWsUy/rQ tqZ1rkOM60HzWte/tqSvBS1sYBe7yca+CbGRvezgKXvPzmZ2tNkGbTuTWtrXHjG1q41tbp9Y23P+ drfF/Rxr/zrc40b336J97nR3u9y6fne75S0+drO53vPG96zvnW9+i3rf/QY4pv//HXCCO3rgBUe4 pRO+cH4fnOEPBzfEJT5uh0/c4mSu+MU1ruWMb9zjVO74x0U+cpKX3OQnR3nKVb5ylrfc5S+Hecxl PnOa19zmN8d5znW+c5733Oc/B3rQhT50ohfd6EdHetKVvnSmN93pT4d61KU+dapX3epXx3rWtb51 rnfd618He9jFPnayl93sZ0d72tW+dra33e1vh3vc5T53utfd7nfHe971vne+993vfwd84AU/eMIX 3vCHR3ziFb94xjfe8Y+HfOQlP3nKV97yl8d85jW/ec533vOfB33oRT960pfe9KdHfepVv3rWt971 r4d97GU/e9rX3va3x33udb+he9733ve/B37whT984hff+MdHfvKVv3zmN9/5z4d+9KU/fepX3/rX x372tb997nff+98Hf/jFP37yl9/850d/+tW/fva33/3vh3/85T9/+tff/vfHf/71v3/+99///wfA ABTAASTAAjTAA0TABFTABWTABnTAB4TACJTACaTACrTAC8TADNTADeTADvTADwTBEBTBESTBEjTB E0TBFPS8gAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0027_image095.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh6AAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADc ABQAgAAAADNmZgL/jAOpy40No5y02ovBybyv80igspFlNnrqyrZnCzNgCM2fYeJoGvd+zPu5bJEZ LeghCpdMy6vJMepkUuojZSNKpznk7akZKYviU9WKGzteWbNxvVGj399zN6zblu0JPQ1P1+f3N8ej V7c1EXQoyDdIiKjmhwbY+Og42WV3KZIIuDnYyfWJlUkaWJOHVCLnSae1CsvaVlo7GomoSbpLNoqq RBsn95FLrFuo6jb7NHz8aRnS/Fz8DOx1Rw2taw0mepsNvTwl63vtTB5tPq2ZyP1tOYf85g7Z+64t jz8tqb6O7gynXCh63uJFqkLwgrR17JKNs/WHG7V/+gJGVJcwlcCNj/X89TNoj5ArQxAL8ZpHkSHI cNpQYmQWq6TLbiEVeRmpzCFJRuEySmtl6lFBcKd28qywEOgro+nGmOrJJaNFjb9CDa3YMKpVJ/34 pRHXVGvMl2CkrjxIVSUlgGgDqvXWUeVHKHTrsoVjd+ESmnb7/hBKYS4GwH4F+z2cZKvNuFEU572H ODILTwohd6BcmEsBADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0028.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td> <= /td>
l Thi= s flag is set when the timer wraps
roun= d.
l we = want to count C4=3D196 cycles
l if = we initialise the timer to 256-196=3D60
l the= n it will wrap round at the right time
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0028_image096.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh5AAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADZ ABQAgAAAADNmZgL/hI+pGt0Lo4whuTdzrvDyeTGe5JXaiaJjyorYsbZa6JoUbZSvpcfyr8IBgw5e cXg7InpKY7PXYTaR1GrVB8Bas8JcSAuFdWsfLRXn0y1pI/B3zFV7i2Z51B7LM+c2Z7sbtkYXqIfH JvURt0eGhugHx1f4Immz+Dio5CiGmHl45InBWaZ5Ocm5GVg62skaGQm3tyKKeuqqmCgYKgv60Ef2 aEuZtHNLC5ObaIZsXBz8R8g7tcuqS427jN0sfEe8/cs8vEqMzEHZaH1ebfqZfg1uq127gOXtl80t niwFb5kWNr1OXb5w9pyR+gZOmb8pCM3FQ2dtHsBy7fhBapaQFwt6hxUlPrlokV1EjIt8YZvIzITJ eySjwUNoTBnGmR4DUhSJs5coUAYFZtyHL+fPVIxsrnwnM6RAaDuZ9kGplOilqOQYFq067l7SlhDN qXzzcaHPl4poMqJa9cTKrTO31gPoxOyWMyDn2r2LdwjbvKqs8v0L+K+8wCAOEj6M+IrhxDXrMn4M mYSdAgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0029.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> = <= /td> <= /td> <= /td>
l Thi= s is a 16 bit timer that counts one
ste= p each instruction. Its low byte is in
reg= 0Eh and the high byte in register 0Fh
l It = is controlled by register 10h ( T1CON)
l To = switch it on set bit 0 of T1CON
l Whe= n it wraps round it sets bit 0 of
reg= ister 0Ch
l Thi= s is much simpler to use for large
coun= ts
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0029_image097.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhWgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABR ABAAgAAAADNmZgKNhGMZu+kPo5y0soscrrx7jl3P9pUmGDLnyqIpCZCbrEbpKIr2ex863whBeJrX BCj01ZBGBdDZ22WIxeXvWovptNbtsZqENrhjWhZmHpeo4im2vGW6sx+2Et7Fq+X3zLrZ1idI5gcj pVYHOJg2t8c4+PdEuPjmRxhm6MFEmddoeUmX2THzOGkqGgWZyFAAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0025.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td> <= /td>
   inittim        ; initialise timer=
     clrf TMR1L   ; TMR1L def= ined as 0e
    movlw 03ch   ;
     movwf TMR1H  ; set the t= imer to 3c00
     bsf T1CON,0  ; switch it= on
    bcf PIR1,0   ; clear the finish flag=
    return
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0025_image098.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh1AAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADJ ABAAgAAAADNmZgL/hGMZu+kPo5zUsVbfRTr7Dx7aFX6kdZbqupXtwayyGaP1HKXwjffSC3oBfcQh wFjkEZdMD7KpekJtNyHJioFssruGTnFqDbdkzu/KQwLLW3BN/O1W12E2oj0iU6VYtttullP2N5YC OPcGmIeHd1R3WOgnFyc3qed4SCGpWGl5iYkBSdj21cdhSpgKyiWY+Bj6GnimQ4raaWR6GjuqZGu7 qiq11wlcLKyK/Pt7a7jrKevrvDpYrCkdrdTKSueatWzc3C06DC7Oy0i5qIsIa16BG97uLc3Mvg4J 7aycTjyx736sX7V/8vK5K8fqj7p6BUcxlKXtnhlsCbWUgqPvG0GJQRzRAaTHqZq/axkbWcwWchy1 jediXKIoUR0tfsHixbQ0Mtu0Xik9NuwnadrDoTINVlw4EKSwpekwcvF5U+TOBAUAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0030.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = = =
l Reg= ister 5 , also called GPIO is mapped
to = the i/o pins on the chip
l We = can test the switch by monitoring
GPI= O bit 3, to which pin 4 ( switch input)
is = connected
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0030_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/ALEJHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Mixo8ePIEOKHEmypMmTKFOqXMmypcuXMGPKnEmzps2bOHPq3Mmzp8+fQIMKHUq0qNGj SJMqXcq0qdOnUKNKnUq1qtWrWLNq3cq1q9evYMOKHUu2rNmzaNOqXcu2rdu3cOPKnUu3rt27ePPq 3cu3r9+/gAMLHky4sOHDiBMrXsy4sePHkCNLnky5suXLmDNr3sy5s+fPoEOLHk26tOnTqFOrXs26 tevXsGPLnk27tu3buHPr3s27t+/fwIMLH068uPHjyJMrX868ufPn0KNLn069uvXr2LNr3869u/fv 4MOL/x9Pvrz58+jTq1/Pvr379/Djy59Pv779+/jz69/Pv7///wAGKOCABBZo4IEIJqjgggw26OCD EEYo4YQUVmjhhRhmqOGGHHbo4YcghijiiCSWaOKJKKao4oostujiizDGKOOMNNZo44045qjjjjz2 6OOPQAYp5JBEFmnkkUgmqeSSTDbp5JNQRinllFRWaeWVWGap5ZZcdunll2CGKeaYZJZp5plopqnm mmy26eabcMYp55x01mnnnXjmqeeefPbp55+ABirooIQWauihiCaq6KKMNuroo5BGKumklFZq6aWY Zqrpppx26umnoIYq6qiklmrqqaimquqqrLbq6quwxv8q66y01mrrrbjmquuuvPbq66/ABivssMQW a+yxyIoawLIBJHtQswRB6yxC0gpU7aytHJStQdsW1C020GbbLLPYfDuQuQKhW6627HLbrrfvEqTu vPGeW2+6967r7r7w8itvvvT6a6/A+BKsb78I/2twwAlDxuzD4Ep77bQTD1RxsheD+yrDCjecbrXN blstxwN7fHDHKJeccsEmk8zyyierLPPLM8dM88025+yyzgD3vLDPLecbmcTTMkQuxsXu/G24QMOs dNM1P/3z1Airq5DVGUkdNNVOQ42z1l0bjFjGhbXCQitop6322q0sy/bbcLPdIdmECbIsCwHgrXfe fO//7XffgP8teABYT0j3YGYf3O3i6QpScitXZMs4y9uy4LiAYJ87ceZfe80z11F7vrMgLEDUiiCX y3tFRGcjxPnnW8cetuyhg44zYYcLlrjpVwiyLdqRs556hrkHRrpEwAvS+/APtb5h8YDtrpLlB4LN tO2wz6597bR3jr3k2ByfPfcwC2Q5+K+nL/r633s+tmJtsyB/6SvpLT/zhitGerbiT+87NtS7EPT2 Ij7ppSSAAexP+jbHvu6Nz3sOLFwEByK+Av7PdZ67AgtWZ77K4a99IJxgCLcHwfINZoBTuQIHUSfB iuwucWZznkdYiMDLJXAiymtcC9+DQqlATiAqjGHp/yAXgOBBhHkVpN/+QAK5JQLQg+k63brQN8WC sBAbKiRd6bRYnx5KpXdYPF3kUMfCKzqEdEX8XwHpZ8COKI90NrxcFuV3N/vNj473IwgY34i65aHu O9YrIfkGKchCPnBdvesd8Mr1RiBuC42D29vdiqhBgSTRXOoL3+oU+UTzoQ5vy/pf2qoIOQ6mK5FY lB/kVEnCQ2ZShA5831hW6TgWnu6Pp1tc3NBmt7xF7nRsDOYOJ1JKI9awXLcc5rngmEsN+k6F9PHi F4OpQTFu8IMK8V23kogvZSLvYM67IUWqiUUNqtCc0SwL2qK4TmR6EyFrZMkxMfK7t21ngSMkpCsb aP9Ch2iNm7HjZS61OcizZeuGr8NgPg35ylbuDHfwy9v8kIdHiUbkCnUMADYjtCzE8G+VyBMj4TD6 To2O8nnStEsbIYLR8BFOeNVb37Uaqk+aMpSfBymgz+yGUSOarIMOxelNF7pPojqmo4ZZ6UOIKMPm bRRDSNUdRvUWUt+R8Z0AvCNWExTVwdjtlvQzHR7p+M4Nok2c+lFfVx+6VKF9ZKsM+acSw8o9gVq1 nTkzSDiHZ9OEsNWobvWn+wTT1aQGkyIDpcg8DZfSgWjwfKk0awxXB0z5QTOXjMwjLscYPslZNrN7 dOYjL5fLz5rxh8kkY/guWBFumg2MDYkf3yT42nD/QrFmt4usVScKUktqUnKo/d81O6tFbdZSjZi0 qiYh+1jgfXaVG3Ss42oLW84UliJ8DK3yErlOyBFRm/Kz5BgTGTk/ereJfUSv5RS5R40CMbvpFa8Y wTpEn54LmvC84AtLt7ymuu6alV0IL+dJPWBik3S/LEgW4WvO7ZZrjO7F4kv9WM1E9lG1djsuIx15 S/Y+85N8PN/ywLjKAUO2MSRz2/puaS81FrGI/JuutMhpxGyp0L3e3SRpN2jWPrY4umIU5W/T9Vwy atSMywXlHZdsv8daMqxtMxkcKejfl/0RqABUISglyuQtV5fFlvxjTx+8yN4pEcZXDePyzvVD5Z3t /5dtA17yTHnWMv7PpGq0ahaBaMqhGuZoF0GtaN/oR+Wx2Gy/CxlrU7lHDKcOz+dEV4EbSUEjajF4 ZNwuEjUYykxnWm/9JfIpn6o50lZSwD5F4Hiv6elPppG1grYcfBk50A1iervcTaWhCbJZTRNxz/P1 lqZHLGcy2zp4+P0MoIm5Wf6Kclvl/di5WnfBDydzzVd+rciybcPVWfXZQSTyLT3dNvuui6Damm74 5kpmf2a4XBHOJmv32s2EfPDQzoSztz1tuR477n7C9aMO903EtI1Ucqik9XRZPcU8a5p6pxbNso9o 6/NduZyhdmmiTxlpaBKajeZkb3O3e0zqkfyTAP9HNrGzipF4gnqYolw0Qm64WOxWXLXi7e/xgMni r5K8vlpOrbcjfFDJPVPLR8/34rSKX2gmOzGZjOrocklFS64zdakbpV0Vh0xeS5HWwEX31SkoRV4K ud7AndxPqfzkrSsNXepiHr1rSnaC6nK6J9W6O4lbT7sfDIn2EmjYu8tm1TJO74AtTGPjst+LVPch U6UjqR+0+LjYjcd09edAMSpzAb863gJMDBkjvtQt300iAcQrgDIXMqEWFZZBJaoEdbqwcVPdrU3t q+5dv3uxyXIxSmVI1reKVgtV3i79K33r2jZ5vcIVQcevS/CvdjcbV3khxecPPmGP20Dz/vv8WqX/ k2OHYM6TU5DzO7+fY8/99dM98YGJPqp5bE7627/PT2G+m5Fn0sc2vyDXBGNQZRKZZmEWdmH/VxQ5 VC6ZF1u4dHEQ0TqPVyEhc06Xo2lk13VXVm0PZmmERlr3BVv8s2dPFjyldIEiCDwk1k5f9z+R1nW8 dE7mJhHxVEo7BF2W1YAG8UZQlH3a1zSEA0d7JoObZHJWNWFDNHqVVExHllUIZ2FNlEWKFIWyxl2J BHG4NmKVNl8WWF4It0om1VY7OFdiRHo6s11FhDcBE4WVY2PMo3YMEWkqaEpYR2uNs3cwiEzDNV0X WE9gFj6x9XUYt1xX50x8Rll4RVoJSFje1U0B/1diq1VMukZ1TfRgDHhK0HJxybNjBjZu7GQtjkOC MfhI5lRs5ZRnNoZ/y7RRu3aJlxiDYnU6L5UQFtiDijiD4oVuldaFMqhtZPZuqWRjzkVmxYROX7Va jlWJWQZsFrhdtodxIcdMc9RHCyaCHjY0V7RmFJZpnRVyQcZJpdRZ8EZlwvhgo/c7EmhoMxhuJHhK VGZSgghglrhuwjZW9Vd/9uNg9MhIqkh9BacQ92NMqRhDd3OPmMcsX3ZxqlVhIDeIsvhtwYhFWISC 3hZeNfZLlCWFI/aBOkZwjIRnoWhjTRiDqRN0knFwLjVeJKlIz9RvyxNDhYhZThRnyPhrYIZoif/G bchIXKQVbOuGXheURSOYisLGaZREhFrmS1omammDi64zXf1IEEboSQCkZ1NFhCTnS7C2Qhu5R0r0 SeQ0bJJDOM20ONokg1b5ixc2YkRodhB5X4Z2gu3Ybg8mgHixQAY2hH23kUkoa1m1lgUkLUs0bHjz YOt1lm52YW92P5VIXmZWTbvmmIbmZk2ng2HmV3RIhoTmV95ye3BIZHHZSV+mevLyhoxUS3gTmi51 mnAkWb4zXGaTjRQZiZB5jKv1ZnJoYQD2TMm0d+G4ZphFkqOGZLlFWKzZk/CSNj3ZXXxoXHdIQZVW RrmIdY3kibj2dZ5YhmLnlkZXXRDoQofVmw3/AUl500JIRj0++BAPt2Zs15oDxmIkBW8FRF5ANnQL h45jFJI8CFsiloq8aHHbhJaWdWHl9Hx7IX9vAVBiZTlp6JQJkY4ZAYWqV3bK5U7MaXSCiF5TtE6m 1Gd/pIhs+Ej80zg5FGQjymtxeYLiCIgOoz+HFRHMF5tOdEaWBXqrB4Tul6Ovx348qk88xUWuFHmx +VA8BY7g1347KmBH2k+KtxgyaJnC90udxxDpKSEIKhfT51d4xUkRaKAGcqWMB6V+NT96hnqLOB/b 16M62ntI+jrJB0EqKFGwVi/Xl6RruqTv16aLAaZtQY1iGlfoBFPPoxQGyJGGWqhR+RGXN37f//RR ZSWFxEOoSDmpITepXqqeL3pR5cWlEWhrNuofgWSnoqouwMmD7ogvdShQL+OJVveJgmUwAAU+MMNT WWWXCONjWJZ9f1UVyrSrEHUTpcRq9KeC/PVd8ll+PjlZ7cWV1vmdxPSisRlb/XdO82dMcURP4Gh4 p7VhUeSIz8ZHGnaawGV1usSC44ZLo4WC+pV2mXapJ4QTKXhlQciFDwlv+NVhy+SGQfZvLXlOw2UQ GWN4+yg+gYpqs8Wp2nJinVSVFnFWDcZT62WIP8R5mcWatqZmV1lb5cRqm/SSE2lmMYZGaBaK0chj LohwWpRGm8GnGRFz6bVr+0qINzY8rSiXd//FknImWsk2bkdWaG5WkJ8katwYWxJLauJ3WwXWRHFH nOZIovzzUsczmU3nXsCpUaZEbQeVgr34QxOJcjsZQBiJcM7TaHb4dNEjOgwke25FYqk5hVNUTR3m mMtEZ6NXk9Q6bAtWaRvUoPfHLJZ1avujhQoVW9yirwu7Xl52gD8bSuBzRd8WOcdUWmskcDz3aKpK sRSUN8cljJvKnpflnGEGbcU6j2/aSr9qE0C5XrxWXtQGYgh4hVOIbJQWn43YiNRib2YlapdIje66 gwTKsFmWsnf0WHurlIuDaZoLjiTWrx73mlJaS+hYjoaITFK4SK1GS1QpRWaUbD02lOXUGSz/S0/u eVL8aEFh9Li/xGNqk1nQhlxplxAZYy4KKogewZ6HC6Ku04+V60ypY1nfGIpnk0Ocl2HhZi2j+Gav q0ks5GFDx0HRBVt+ZGsqqpFPBr56AT3xVD/X2rBb+zv+l2N194VaFl2n1J21dLdyJEVw9nUQHK7F NIemlrs7mVQ4Oqp4eqe+ZzAVE3fsZsO+N3fYM7gc0bsu5Hq/dxfQo39mSIDCeqYHEr5ZkcTE+6cg cXnqO4B54UVZKhJVih9pmqdq6sN6yi/SMjpUDBJ1yqZhrMZgrE+ni8TEdGStNsd0XMd2DGIcAsVY ocXE28fp98c5GMiYN8h+XKNEHCB6fBWJ1twRE4g5NczGODzGDrTDgdUSkCzGa3zDeXUYi1wVnZwj nzwVoXwjoxwVpcwhXxzJmay2IVTGmnzJsPzKspzD8XfBX3LKT4HLM6LLTcHLqPzIsyzJq2wylMzK wtzGqozMmKzMR2wXvgwjz6wU0ewi04wU1TwhKfYw2rzN3NzN3vzN4BzO4jzO5FzOEJPM6LzM6RzL xsykRfPO8FwZ7HzM6xzMw3zPyjzP+JzO8dzP/vzPAB3QAj3QBF3QBn3QCJ3QCr3QDN3QDv3QEB3R Ej3RFF3RFi3RAQEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0030_image099.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh8AAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADk ABQAgAAAADNmZgL/BIIZxu0Po3RqzYulZTX7D0YdFXKKWI3liiYsezbqS8Px4a6znN71u/tNdkGh URMrZpQ95fF5JPqg1OH0w8zhtCapK9jj5TrgU7G5IV+3a0jYMOOq0Vx4imJO1lv3Llrcx2ZBhwDY 5HZoR4f3ZtU4AqlHuKH4SCi4V2l5KVipqZmIGWh4M5m2iDSmulrYKdr4qmXK+VnbF5npeiv55eTF Olja5vpwh9t6TIlpmwwqLMt7ClzXzAydS1yr+9yKOOqMuk09THyWnKiSHlot3u07pT4NWxz6am/d re3tXn/+Fp/vTztpyLgpM8SIn7xv9DbNARhsHLZyAgc6UpjPT0FgkBq1vZOIMWLGEKPCwGLnMaBB U6DwETzoMuW0ZXyUQWyZ7UIciJRQLtt1bRapm/hiUgxaL2G0juAmLtX4smc0pIFuhoMqxyDWkDJD zlO6ketWmGD3EGUqaihStF+dKUWblulZT05wzo2LoS08kFL3/npZJbCQuoILGz6MmMqkxIwbO34M YjHkyZQrM05qOXPiAgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0030_image100.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhSwAaAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABH ABcAgAAAAAAAAAJMhI+py+2PApy00iCt3hXzDy5eSIJjiVpnyj5rCytvTANzDd84q8fYDwwKh8Bd ImPEIZO0JTP3rDmjqSm1ZL2GstoPt7v5aonkshlXAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0030_image101.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhGAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAX AAEAgAAAAAAAAAIEjI+pVgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0030_image102.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAF AAEAgAAAAAAAAAICjF0AO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0034.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> = <= /td> <= /td> <= /td>
l GPI= O is an 6-bit wide, bi-directional port.
l The= corresponding data direction register is
TR= ISIO. Setting a TRISIO bit (=3D 1) will make
the corresponding GPIO pin an input (i.e., put
the= corresponding output driver in a tristate
mode= ).
l Cle= aring a TRISIO bit (=3D 0) will make the
co= rresponding GPIO pin an output (i.e., put the
con= tents of the output latch on the selected
pin)= .
l Th= e exception is GP3, which is input only and
its= TRISIO bit will always read as ‘1’.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0034_image103.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhpgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACc ABAAgAAAADNmZgL/hBGpx+0PX4i0qlQzu9hxPzVcKI6Lhkpnqn0sZJLbBc6xHctv5u5U7zvcQCtD x5jrJIvBCLBZYgaf0SNSuMT0RkQrcuHKYcFFKlXFFKO9R+CHZuu6l4hh3TTm5nVy+F0vV/Ll9XfW t5WlVghHN8iHg7co5Tizd0jYt+gYEua3CTmhZ6gJOFpYSVllmnra2voE69c5yYpIy1pnqeqZC6lr q8QbuzKLWSvLq4rquifMiHwCzOmcBh18K60Lg+y7a33d9kwszkYdHp2sne3E3U2Z2KzpWqwzTEb+ +Fmarl4PLzlH0bohZpJoWxMJV6Ar3gImbFbmG0SFmyLeqljuYqdtEnYmspOy7hMzZSQtpDO18SOT AgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0037.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0037_image104.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhWgEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACABL ARQAgAAAADNmZgL/hBGpebcfmnnS0YqbyhUyDobiSEpX5m3lyrYueFpdbEVm+tW4tsxpqQrhcq+i EdXjDInHprNFQ9gmu9tUuuQNtczlVRkEZ59kV5T7K6vXvu7Va7V5g3Pduy5kYuZ6tt8OA/c36EST w2dFFYZ1mMQ4FRPpiNQX92UIYfm4l4nGaBd2Bqj4cXJBsTOpyXnmMYI5Snq5Ibq6KRub25anKtYp 64o2WTV2S9moYpqUWgy8WAW6yOqGa/vZewzpqCxdi20dCI33+Ms8Vmt8ur38I+i8nky3FU6ErIdZ Hkyuz33//cnLHjt58QaWgndwGEI5//Z16sdQYY+HqNRJq2Zs2h1d/xkdRtM2D2MUbxdtpYEo0iDI hJWEYdTIb+HLdCpHpYmFThJHfBAJQqPZ7V/DlBTPyXRosY/Rku+O3uK5MqLAj1FvauSxU9XNrT+J +mM6FGhSYkfxjKxYsCXSWT6lsp0q9k6wcVepeALXFSU9ufUahu04dq9Nv1rLEmYad6ZevZbcQlln 7SyftgH5hpz2ChG5aosDA1bp2NeXVUXdTBwb4Rdpz9lkCLNKam2ooVD1HSNBtxhj3YWXqs0pqLZq 3iyroqPZBnZs2eCSJz++XESzpncz/pRMFvHx4L3zwvZuu7WUbK2eqebYHBgQxM9HRzPZ3fzFpDPT a2KNS+i38M4yxznb6150hPjB3oAGHkjgXwguWAODayjoYIQSCjZhhQJaWESBGG4oIXQcJhjgh+uF KGKJB3po4hNhFAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0037_image105.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhkAGvAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACP Aa8AhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/ALEJHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Mixo8ePIEOKHEmypMmTFFsdVGmQZUGXBGEOlCmQJjabOFfqbLnzZc+YP2cGrTn0ZtGc PJP6VAqUqVCnRKEalYp0qdWmV59mjbp1aleUYMOKHUu2rNmzaNOqXcu2rdu3cOPKnUu37saqWPNq 1cuVr1e/ePcK7jv4b+HAhBMbVox4sePGkI9Kpjq5a2TKmL/a3cy5s+fPoEOLHk26tOnTqFPbvWy5 MmDXh2Ezlv2YNuvXmXG3zh2b92zftYE3Vk28uPHjyJMrX868ufPn0Hvvnq67unTrv6lf354de3Dt 3bl///d+W3z58Oijq1/Pvr379/Djy59Pf+H58eZt6xe+Hzz+9PcF2B95A+bHH3D1Jajgggw26CBb rcgUIVYR2uRQhTNNiJCGMU3oEoYwcagUiBl+6JhTIqok4V8esoRhhwrd9+BoNl1hY00sXMGCh1eo KAgLgphIlY49CvSjjSwViY0gOgoSVSs57qgikTm6lOMVRmJ5U45OtkLlFUFGeaONXD7VipMC3Ygj kjURiSY2ZIK5ZpRGVjmQjVoa5mWeZwYZYZ5Lvknggf4JSOighdJmkCABNOoko43ueFMAKkEagKAP WYomC5FiCWWSkcJ0RaMBADkpqZSmSaqTOgrEaQA9tv+CKqWjksoCNq/CKpOcrgYwkKWSyhopmrXC +uisWzaqpaYIMSrpkixEe+atd2I6Y2pQllpqhLZ6amq0kU4EbqOqcmpqqsKWCqiz4Qprrormwgot tNpGmOulwN56L6a8YrOtkd0mq6xK92LJLrmjgqtSwv8a9Cqx7/5IEJPXGicrmKNOC2a0W3KL8aUR Mfqxk9EyCbKkowry47NwAvmjrxerjCajKmdMMaNnyquysS3LTDCQCRf0rLAsHbnylh8vDDTHNMvc M824Jn3QldQ2aTCgXlYsmoUtwxvmTYx2jLNAIO8WNtmsequvvdSOXSfA9sokLbQq66ulqZMmSe1A eHP/PJOSsuItcc5bPvqtlq3KWlDZUpr6o4ox+fo4rm8OzreBiR6KOaKcby5eQq0aOS3cuHq5d+gP qbkkwXf3KGnZsr4Z+qefCp1nj2NSe+ZAqbZsO8AxnV7y23n7y1KOcC4r+d6uLstt0ZW6FLasC1fe Y5Ada61a2TUpPmnhfncNEfJRqclx429yL3HeUGLKPdi3NvlS2evzDbH0t9O5pK9ot5+m3XUiHEGG B6+hlW4mkksV0OqUMldxTXugkdfEUMa/HUlwfxF5X/M2KKXeRe1OVeugn1SkwRs5S1DU+5/TPmi6 CfJuYwHkoN6Sd5NW/ShI2BOZlXSFI+nBTIHDW5nf//AGQdDIBHYVopTJwHc7dDUESp7SkA2RtyO3 fRBgTOJUsgjYOx/dKlvkm9TMtCUpLo1KS6zDUZD4V79L+Q+DJRPZpKLluGEBbG5wmlgCVUKq610q Y5TTHIAKNEhB/ueQhkpI7GoIJmed0YFhPNuFQLYnVkVJgc/j2+lKxakuocpTqWISxhD3rpr0rlac IhgZBeU32oVyb1SEGJxWuT9tEStUAgPUr364MBspkVqpUl0RSUPJUNGyfWHUkfTOxEwcughkL8MS w8qYMyvliV1FWhmTPFkpZdVPR7Cj3x+xNy5dkm9yoeSfv8I0Rly9SnnbRBOUUlmnhulxf4/CJ5TE SP/DYZYGmuZypDwteE11CpGOdMwTJc3lTht1qYNWEt7RxAgigBqLTxNNYdc0xCUNnhNfyJvcB5/V qiZJcHIhemSWPLjL4vGuZsUTpj/nwjX6SYtSHwJSModGxp4qCXls852VqvnCX8UvWEREG/x06pVW 2lRoJGPeFRP2yDay838fDJ0kuaKrol2wpVZM3uBApqZEdq6QmUvrWRFZlITsVKR8gyIIZyKzuq7w ir4LY/ZgR8TELVRueTJjoFRlyhkOUJosJV+xSjWvwq1zgyZ8paiOtyOFWmt6ia0b2Sqly5l+Rpn/ 895MqqjOr0LutAQZlSb7Gdd5FtaoW7oVXF0FS6b/KjZYTx0gNw/bvI3Jln/U859cgQpNdSo1arWT 6/z2tzBXadZ//fIsaGjGLZ2GiLTHstZCoOZavdZuboDEqjspqiHuXlSoTtXbi4gLKL8qj26msxcO g6k2aFXoZ/bqkRu5m9OdsU+JoOyldDkjoU5ySr8ved2VuvgQdT0SdQ7cH5mYt77pmWtukIqmxEQG NX7uL6GBHK9RbqRR6nKSY2D8F/mkFTg6tsyX9rrU3FjmLyKRjUyjW2RS0bpWs3qOxz9mq1QMUqwq 0jiFDOtsQ6aZxtE+1Jap1Z0bZ3U8W7UwWw3T6GIBOEvp/faZO1vVqZRFW7hZqlHcwmWxvCWoWt2S /2lfVKB2B7yZeS70JUXyUqnmbJ+EEcucRTswTKyaYp7Sk2If5pPgyKivmRGRYvts0xo7OSVOVkuN gbO0nsOosAgb1aD03Kf/WEpnz/RJRTSRnsoq0qcMvUTVEvpQpVS2u1/56SYuovXEMuQzXP8qeL7+ VYV03T3sde/XpzZ2q//2Jpqs2taoNlL2Sg0XGWkmMFwbzkUeOJHamcTaCtmbj4FMbiGrNcjjpvbU uL0aJav73W6JbmgQDe96v0Xe0+Wzvc+S7n4T0ty4qTXA0S0bERHckP5G+L/TvW8aNfzhEI+4xCdO 8W4v/OIKz/i5y53wjQ+c4xj3eMcrTvKSm/zkKP9PucpRMvKDizzkPYa5y2OucZq/vOaFWbnOd87z nvv85/5sOchxPvSbG93mSJ+50ouedMUA/elQj7rUp0514gj941i/utZlzvSlZ53rXyd61cdO9rKb /exox8jWxb72o3u97U0Pu9u7Tve02/3ueM+73qMO97eDve91B7zc4y74q+/98IhPvOIXXzEBaehF v96QfmQdoi71x5nSi/ySCB6hW0cP1dH2uzOLjWw9FajzHPK8i76OesipHnI9ZmauXbR6BKldnrpk Erst8uyspVZJGiES4PDGK+BnBIpsEp/vBS6SPSUftF7y0UiO1C81IfrZGKG+7NC4LH1LRPtGun7/ 983Se+BXUiS8oveSHMqRK82ub5vqiNGI7ziUeV8j7cNTnXbr+5DgSaR2EjrGZxH/9ywBaH8cgSeg VTNKszC713xoRGGu84AT8Wzq10ju1m1gMi2c1To1JH+xYn11NGsloXt7kiUGA033dxFI4iVdMjkC SIHbFYLLQkFpsoKpcz2OE32+AycyqEiwUX5WwirNViBKon5SYn60AUs+cjcPpRXloWu6FyhXwyoW YlZZYy9gIye1s2oM54PVs4VpQzBPFBSxsn5ZMoYH1B9KIidBUiRsIm5Q2FYpEYGpFYYgYYF8gjg/ qEht+ChZaEJ8EnoViHtgs2rb5IOR14cMgX3Z/3QzctIvM5OARWMwPDiFgNMljFh+Yrh+DlgTmlgR ymYwioiJtLd5KGGBLNM2ODgRbQgoVcOICBFY0ROCfnJNj9KKizIznoI7cEIxQwOHHtF/XwRTiVh9 qLcRfxgoq9ZIuEJXHygRR+gpTuOM4haCFfGHu9OMq3Y6fyKLdYgjdzhYeciLf5Mm4Ihn8OKABqN7 2Jc1NBYyy9KOWYMxiniD6qcR4ldD9UgxwCczGViINWSFtNZI/eeD+NYQz2ZCp2aQ7eWC2dglG0iP DgltUhUj+iGElxONLzeNAxR+/eF+xdhMdvU3ycdW8/eCeeQ6efQr7LdWSGNjtPaG0Wds64dv5f+h gJJyi9Hniy6ZZ5JRgLPWkz05MS8pHkK5O8yEO/0nSnOWjgqBaJGGjuT4EXp4jquzEbmikjSJMaKi V99HRp/HlD6JI/GYEWcmfWR5kPMElQWRZNLWJziEfdBykQ6BTaLTTC4oKBNFEXC5jT5zV+7kliHD i6inO7oYEa94mCCpEToVLI+ygWeSTSSUjqJEPhPii754a3bSEcjkPL84l34ikXZJgGTygt9IhIDI R4l5J3EiOj5IhJbIRwHJEHiygwMpm8w0S2GxfJXllVXpEXpYSXyIf7ECmTe5hZMZYLwnTYiDj2K1 JwImnNcDms6of+wHYWhJhB/ogn9CgwTTmiD/WXwD2UtvWCRgqZjciT3euX41Y0kpEYS4x4E7WYSa k37nGWnvSBuI046Fk1/ylUnyuSVUmZ2RKCeYOSCB2Fx006A31INYR5WD0zqsQzJFokH4kWdf1DoW aqGsiVYaeo8bGDV1A5/ewWrch2s8mJD6OI8qWpzBVymyJZHeEkVKI5625lwtCYOmInvhwxHPZirZ VH+aiF8J+FB/ElrKJ6O1mRBemIRKCn0P1aRRiaRtGEL3NZhgUTvCBIc4qpBO+JE8GHymlJVX9D7O x3ubcj371zPBw6IW4XsSg0bpNGgnmRE/1XlK2pkoyIg/hUNRSmOiBI5/mj9G2iZwGhJAcoI5/zqA HEE7STWRd6GhxDZEWDOBoliDtTY2YdVIX+qkjrapdWqU+XgRC8mmvAmh6OioCvlnoipe1ZKoC1F8 1cc/ELZNVDp0Z3RkTeJvpqOdWfSUlQFLqgN/v/eL+VF+6beTLBN9U1luHLlAxaNRDjSmnjONVype UzKZbIWthgVhNalLjXGEfYWlrcWqhNksB0ZkOyacnZRgZ8l7lSg7xMKXuSiK9GqIHNmdrBqn2zef /Pg3n6iPLjKiaHiwbQI2AlmeWZKw53iQIeMij+aidzKwqWiT0EgSt/YSn9oTesoVK+J0ZZixwQZ5 j/cRlDeHuyayEiFr9qkntRcRLquyrnYiI/8LijUrIvKUrozXs2qnKH+3bUBre4YkeWz3EIJntB6n tDBJh0Trs1AbtVI7tVRbZ0F7tFg7d4Pnd1lLeFertdpWtWI7tmTbfKI0Smh7tmr7f2zrUG2btg61 tm47t3BLt3Jbt6NUM3b7tnfbt3z7t3sbuHjrt4JLuIMLuIdbuGyLq4rbuImLMYz7uIY7uYhLuY7b t9o1aD0VL5y7uZ7buaD7uaIbuqQ7uqZbuqh7uqqbuqy7uq7burD7urIbu7Q7u7Zbu7h7u181i55q V777u8AbvMDrMsJbvMZbvE1yvMq7vMHKvM5rvHH7vNI7vU5JvdKbvNY7vcSbvdJbmhPDsxX/WBbM x3Ida6rgCxK5+qjpK39k0aT9ahLrO4zxO6llQZdvgbElAbEnMb/KmHPsaRSoFcAAPMACXMABa8AI TMAKbMD2u7WE0cCBVxD4y7XTAThcp78O7BU8uHUY/Jbnq5jiy7/HJ8L6WL4a+8H2YcKu2L6NaHkJ /MILHMMbLMM0DMMCDMElqMJ+icId8b4g0cEnLBZAXLEUQrNCosE0myZWccRMbMR7McQQWL867H88 7IdjQcIYoWSDZsM13MUBDJRcHMYx3HsnQYI5J7Q8gbFhqxnA4agU+MYOa7NxuhIDuMbWsZsK4cMk gcVqx8coKsVzMcEjMb77y8Lb5cJenMgv/wzGitzIN6xvy7Y6L7uxKgqqfcZrLUKyLHuPEEFssqcV xIaKILwh7/jJH1LKV5iBH4trqgeKmPJAguzKwjbJNgl5LeG+IRK04gp2+7ooBPR/CluXuXanMRGQ 88R9LxmBSUXIE5OYegaHeBI9bQI7MrVkVzg8N5ln8tQwiUjHioTNzqeSsRUiXKPKZzQlL4l73AOR oOO/YvzOMszI8AzPDSyxRCJfVRKI+gNFctjMeawj9UcmARsnroc1EnyF6io/VHKvv8qs8lYVFnxY AXib5CgmvRSvQGzR0CLQtabRngayQCnBUbKmw1ODoJU8WtzLB6HHI+HHcZqByvQ47gilMv/do1yS yt98nmIjpP9ZrlUaEVVSUm8YPw+l07gTv4J8Q/4z00n6OLSDO5n7lIsaUjrY1FM9RuXsVrizoVeF njqdf3zWpF7oyGT9xfNc1gOMwxDpndSomdhDYp5iyRuiOzNaPVlTYcSaxzI4hT0pka02hbiqhRDB qtdH1ATTaiSGq6xVzOoqjrq2gZG5harCNUmdZ8KohVfDWQTKolDMyUgMsk4M2oSxy6L92abdxFg5 NbZmgW+IrASKw6lNZLITjfXo2hucnv6cKbczplXEnQ4kkYpZI7lGjgW5hts0Jd680oY4nFgSjDUU r0p8EPSGjfx4NXGFiDD9E/971vMsz2j/LcZkbJQdYpjNLbAmqBN0KSqVQ9zXY63MKqxq3BPmh8ee uoa2rcornWpK+IcF6RJnqH4FC4X/SI6Q7d7KuSF17NovejnN7d/GKE8cy20sjX4h3Cy5V69LOUCm 066xLTQS4qIseWn2KNcOIW952owOfoMR2xIXuZDOxDwe2RJz1uLk/azanNXKjWcJy5TV4oXZfcjf HeQzzN1kjcNknJoTaePP7L1qzcgmuKg87lx9EpATHldEAZHuSDLi/aCDTceoJZm9jYTHk7la7OR+ IiUwZeWefdDrduWlc4IN7pJeKMidbXxbR9paq9IN64lnu6EHWVlhgtMIAZlnnkXsmFrO/xSQsJ3f h0iclsjJboihs6rf4Wc4WVTd4+htOs7ilU43ylSUlxacbzmLL3jmp4mQDu7j7ex02y3kjuzdRA7D 9VzRSDLS/AjjnAnhuX3LF73RiW2tTMmWbF4USLU0C6jlLkmFFRyzvifQwteOYt5Yt+zlySNb7seM sJgkudwmsGfl82ShAfiMci7OQFHnVbxkFS7d1GhGupbhao6wm97m8dU+7BniN9hI0C3qs5qLQLNC kZji03aXqdY2NuSMgfLn4UnKg54lVWTw0Qfj2r7qsv3cb0hsUf6LXPjjPx3rZQ3rrp7ARo5GRRng 16hqzRLWltfbH3LxOxIlHE6VDxGMTv9TNCQD8PZtzbxuFHUNbcAnW/2KwTO88+Fn4DAv3TaBNxAZ 10rMMhgYzNM+6UVc2kqL2neyxEnssUncwVO5l4w9fKjY5KBDr2wpTf7tfJLemCWOi8bHjT1e9Djv MGWf3tJ0jnDawUwfyR8IOEcY1hZeLWNflb2t59F9Fa3O8Tbs8YY/xrrObGmIQtKUQzzermbcF/UT +OZth+eZuWaaHXxtkP6cfiPuvcK90oGl4AfPhcCYanFsp5dDxmyPsD+f4FOIrLqU2fx414sPihLe h840QrGcxxWol6uMtDBNg3JvX/4jpE+pysyapOcIg3v400hr07Ov4lxa3p097CKtNMH/WY/HyYEG h7PSzazdn9lvtOap5VZICrHfT0O4L/GWHMa4Ov/vjPgLPP+QC958xs9QztgNLaMAIYgFNoIFCwoS ZFAhtisNrwxEaLDVlVbYWDRkkZCgoCsLDVL0GHLjxYsTKx5MOJFkxYcNRYbsGPJiS4YaN6YkSVFQ gIc2Jcb0ONNlxIMxc55kiHShIKUGBQoEOdDgQJU7NZIUOfElQZAFmxJsivAKR7JdF37FxlIhWqUT y5L1uTakVpEPL2IjClajwIxgWfDMCtRjKxaFK7aKG3PnXa+Cl8bdmvZvX7N4TwoEjNdwZIWVnQbY nDftXtAJ7TJe6/hzaJ90FwtmWjfr/+SUrUHy7bpT9UevIWMfThsc+PBWxZkaLy5c+XDhIJk/F14c sfTjy60DFw0WZdemSMdij63dKWTtiL+nbZvc/MnDbRWGPysSocbkXpHOL3he4uD87Ndy9K88rzjS C6H6fuJvPfHsO4xAAfcDyzmP8HsQL5RsQoy8w3brDC3OPoyMQxBHHExEEk+k60QVJyRvRRcjg+/F ERGT0UUTa9zqRrxSuq5H6H70UUIgh/SxyOxw/PBIJD/0bEknm3SyxChBjHHKwEJ0b0GktuxNyy6D 4w1CMMfk0kszx0xLRyvTXDPJFttUEUo4U4TTIzXX3E2p34okss/rhOQzUD8rIoot/v/iQ1Qu0QxN VExHe4uRUbka/fLRBT/6SlJL0ewyRU0rBfXSxro7dFJTH50uRw/rzI/Vl+h0tbFYfXtzVhhXXZNG W1vdldeXIhpUUGFNGrZYIpV0FdlY5ez1pTudhHXWKmONdqHKPhU1W061cwzbbb/1sFpXxY1V2WZh wtVKcut8dkqgPNwzWHmDnLfeHo/0Nt/3WtSXUlGn1bbfU0Mdk7tSN8W2WoERHhXcgwk2dF2u0mX3 3AjPlbhOcy1+j+Iode213Sh1BNZYk58D1F57N26TZTiZ5bjDXTNeE2BWM772yzLJ1LnnMH3m+cyd d2azWZpbrjXm/mYWecmmnT7Y5nH/n14S5JmT1tjjmKmWkWsZsW45XYOFBnpooN8tO22yxTt6yrat dDlmqXMF20qvuw5RqJz0bonvnDDq26G9BT+N8L8D97slwA8ffHGhHII8csknp5zywirHPHPNIX98 c88//7xz0EePXHTST7cLddUBh7Ew11+HPXbZZ6fdddBqxz133W/XvXffJ/s9+N2FJ7542403PgDe kSd+eeaFRzs+pqafjnrrq8f+eu2zN29777n/PvyJvhO/fPDPb8h89c/ffqz132c//uszgr/+6gWS P//6yde/f/OVhpHcLKa1XBFwgEY7V90AuEAGNtCBD4RgBCU4QQpW0IIXrODCIPaw/4Bx0GH++uDA OghCDY5QhCFkGAk9WEIUblCFLzwhC2WIQRrW0IY3xGEOdbhDHvZwh97yYRCFOERqcWZVkpJOcCKV obHFcIVPhGEKnRhFF07RilLEYhWzaMIttpCLWgTjF5O4ka8Y6FshGs+BTqNAIrbRjW/cCp0MtKWG GPAn90nVSK4QADvC0Y9/JKIc84iXsXBNKgPCEGJY0EdANtKROCTMUpCSEWK9qkTVYUmV8gTFK4bR i5+cIRW/OEpQcrKLoewkKVF5yjSFJ5MniUqWOLOS0TxET2x8ZC51eUHCPEQvheEVzGYzkx2VZDy7 RGYyc0gYYo7FmMQSpkgoCRH3yf9MmdfEJgVbEQCx1KRQUlESEhdpEW/SJ01E8+Qq02nKdYqylO5U pyrZKU94zvOdAyOMWnTCHpBEUyYTm49tsjlQgjaQLh1xH3vAicsIscQtMYpbQSU60Sg5CKGVhCYj GULGgB6Toh8FaZtc81CbLDSOJVLLfJQip3jek5X1hGkqXdpOmbbUpva8aXwOmhRX9lOWkZGKTijE FYaG1KhHHUxQvemdiamIMAkZiPt84k+kVtWqXhlnVCuZFHKuKCNDcSamrjpWsg6Gmy5xH1DgMpdS qeQu3+kLV2qKU7rG9KVztStN77pXvfaVnnjFJ214Os60lMZF3UQPVzdSVsY2liMuMZGOWer4IrNp q7GXNWplz4hZznb2gHn9K19D69eZjta0pUVtTgEr2tR6cEYBAQA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0038.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td> <= /td> <= /td>
l Rea= ding the GPIO register reads the
sta= tus of the pins, whereas writing to it
wil= l write to the port latch.
l All= write operations are read-modify-write
ope= rations.
l The= refore, a write to a port implies that
the= port pins are read,this value is
mod= ified, and then written to the port
dat= a latch.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0038_image106.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhJgEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAAY ARQAgAAAADNmZgL/hI+py20B4YvB2Yuz3o7W9B0eRzZepJxUui5nCWMjMMf2jZstjSJ1nlHtJkKJ aEhUAXGz3/IJlbWcziiraEwKfUhs1qqpgsfkDrJs9mbVoR+7stopXXMQqjmNd/U9S3V05vYFyPfW lncXWBhC16d11Fcj6Ej4orVXxIX1yLN5aalT1wlq14NnuvUGaaSmmclAGromR+v4aem1+jq6xXt7 utvYy3vGCfyRqWrc+mvrypg2G1n71fysy+lLvK2Nuwgndskde5WIek6JWH2MrC5Bjn2rOyypKKqN X85K3Y5+aC6t2rVlzoSxiRePiruE/GT9q+cp259hzdK9grjPHzaM59AGdiumD2DDgQo1EgzYESG9 XCrtxToo7hhDk744Opwp0KDIabZkkhxpExY/nvfwTbwXTuLCk/1QGgX5lKafF6JKZly39CPRlAiV FvSYrxI0bybJ7mS6UWzOmD4vgCJltenYrOy4CYWZz+CzZM5YEqvDrC07ePOCuuXIKK48rU4rci0M F2o2x0l09vQbmK67oqUif9U7OS9iuV5Rcu6MVHLev0TvFgPWmTHpyYuk9FXtKjbYsD4NV06KOifw 1eLQGA+C+7jyKMOXO3/u8DT06RyYUb+OfS/h7NwtN+8OfgzF8OS9l+dQAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0036.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0036_image107.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh/wAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADz ABQAgAAAADNmZgL/jI8Hmx0LE4xtUmWZzBNnB3LWJpZPiXbpOiLf2ZExDICk7V7GHPK01nv9fLiV zOhhtXZK1rFGKy51NmoVyMQ6llntlmvC5VDPcLIJPaM5x674l3vD5POx3EcMAsn0dbPsNObHJghl pZfGNaSFx+iY5iYoM6lm1kUFSblxlXfCeRh4lqV5KVmIiZqZalmndlMpUlb1uvUplln4GZvreUra KHT7iHuZ+rQJu1s5G9csTEwXJcUaszoMaX1Ysfr8eOy8SB0ciQHYZgcOh56sSpF9Pnrqtf1uu16M XMy3zFv+lT2ul6h74YbFc9WvlT5oCNnhMuYLHBpZCRXS0icM3jRCxUkO4ksIsJ1Gi8AuDslXkCPG ip0EfnSBUl23ce7qDfQYLqY0D/RMAmuH7Y+8kSqZEHV0dCI6RT+xhPTJ7U1UqU6F8ru5MCbTh0C9 XNtqqOU3nMpwktRmCqseeeLAIvUHV+eXIDNpaghIj8fTtGTFup3XMOXfayb58nz2jyESf2Xz6mg6 Ne6ixF+9qrS6Um2egI0My2S7daHly4NKmz49A7Xq1axbq3boOnZp0LJr2769WDDu3WZ4+/7NGzbw 4cKHGz/eG0IBADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0036_image108.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhkAGyAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACP AbIAhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/ALEJHEiwoMGDCBMqXMiwocOHECNKnEix osWLGDNq3Lix1UGPBkEWFEmQ5ECTAlFiU8nyo8uQL0fGLDnzZM2UN1fmbAmzp0yfNIHaFIqTqE6j PH8qDbp0aNOiT49G5Ui1qtWrWLNq3cq1q9evYMOKHUu2rNmzaNOqXcu2rduqSZnKdToXal2pd+PS 3WuXL16/evsK/js4MOHDhhPvXIyUcVTFjSNPfUu5suXLmDNr3sy5s+fPoEOLHk26K+THjvOmBry6 cGvEr0+rljwbNW3Wt13nhr3bcOnfwIMLH068uPHjyJMrX85ZNm7b0GtLfz5dd3Tq2K1X531de3bu 2517/x8Pnrn58+jTq1/Pvr379/CLiy9Pfr792Ph75+9Ov/99/QDy9x9/8RVo4IEIJqjgggw26CBX A4a3n4QBUijghN9FmCGG9XHon4cqPSjiiKF5ZKJNIqUolYohNnQiTi22dOKLTrH4l40z3qgjjTyi 6GOOQMZkUo9D7riiTC1ClSOJHLVyBQtPsuBRlFcIIpAgVjrJgpXYYJnSk1ckmZCWYV7JwpYmXjGQ IGdy+eWZUApCJUhyCgSmmXCquZKUb54ZJptRuonNnFdGueWbXDqZ5qGDGipIK35C2aWfj46UKKOD tkmnnyBpiaadhprY5klghuhkolg+6mRBejIJkUgBxP8aq5UsyFolNlA6KatHbKYka6XjCfKrR7UG ICmkosbKp6+yBnCFrGdeGUCXtuLaLAvS6tlKswFA2myr2Fxrp7i6xmpit9Saa62t2zY7KLSCdqmn sG4WG0ClzyrLrLFqevvruowKOytCxYpaaytspnirhQxvWKHDk2lp7KFw1mrlFWry62yjAlWM7qsT H/zumd0iSy3JrUJaa5xwqturn8ZOquy8G++5spTPwummyiRfHLKVwpKMrckwY/vkxI+GrGbOK7PK 5bOtejzlxPeeXDCuFbeyLZQ1R4ptSM5KGjSaXw+0sKsSeXSvqGqyaTSWfIqNrbBt1/zQs0BXneu2 j8b/XeaZKW6rteAIo9vr2sLqBPhAJJ9EOK5lmrT2tksPrTifTxK758V8Qtrv41OWmTlBC+ecktgb Y6x1zIQLq/WxKyWu5eaZ0khtppMinDtBvaJNUdUdP210ldPGzrHrHZft0JNmE6tnrkMDj/xJhncu N9+xg8Q844v7yji4JzHKPMYlSbpSv1IKfv73ZpK0vfqng0Sy/FxKur3sy0qKKe5dZonuqisRCfMS R774Aat3vkNIiz7mOTMNCkvAc6D5bmeU4NGEUc5CFvwgFa//FQ9XWcJWBqUywXAljHrsO8jHyLc9 X3VqINOi3FDMty1WaWtZu/PcoRp4uwImrIbB4yDv/0qmEx4GUHk1ZOGn3EbDDz6sQ0/8UBQVGEE0 NZB8s9KemqIlLTEVJILsk+HcPmbCklSPV4fqFdTcVzbBTRB+T0pV+UJYOTlOL3zEGmEK2ZSqKQ2t hZNyIaMCNq33lYxPyIuTl7YGLGuVaU8KkxPnNrY1kZksgRFhYJssxjGmSTBlTmyI9LQGOQi+rnDh A5f6+HY0B+YLUxNM3Bs/KLRQOgtj6MqZsVaXElXhalzdg5yvVlY5XIJrdAk7lvkIqK213bJWezJW 12r1yLHRiVUi0+WkrAQ8HGLSIVVkF8fKdbEtlu2ODMGe25YmzfSNEVaCUp/ABhbId9mtheM75wpD Rv8Se4muYtTyozvTFKuo0Uxn6TKWoLanRAv2sGwla1b98nQ6epYLfKcbVaqcKZBuxuubTdEk17T4 pV2+DJSkqhLGVHq2qrULWxVDHypPBz4PRqlTZTua/FqVz07Rskq6IwiUOFk7VQExXxnrlNt26iss kdJQyuNfrhInzB5Gzpk5YxvCFMbF2AWTek56pAlP6NGGQfFCUdQQ2OrVNz2RT3vdqlNXp7e1dkrT oF6FaZhwGlAYxvOM0zuhtITHPZ26EFQfQVM3VWk4epmMTh9bpjfzic2OhQ1dATPa1wgnpentT4D/ G6xBBqg81X2trCAFJ05phbkqccl1L9PnSSSp0lT/1UtbzPts+jT513PtaV5Sgmj9bihN4AGxqgbJ 5dcAGS6cblFeTe1YM224EjLyr7iYBS7HclfDBgpxTTQ737k++qwclpJPqE0tQ6qYt3JSlZl9Ra5f zEZLvZavujQjiTzjVryEfaxmhnRtzTyLUdohr4Ap5BuykIe9qgrWTkMr4QCdJSeKDZd/5T3h4vZ3 vxj+l7wRVhTkIOXQs6l3gc797QMdC7heba1wBVYI3RAWLd0SS7HebG51efU/KWWQwBDW3mZ/2keC eJBzRcabrriJXy0xtbq2bdSNBThG9sURb5mCYL/6e0jXPsp1umoyModYO0ndi6z1MxWI1pzW11CR /63J49jKUvc8fkpkznpTJSKpFq/9nqttRnNWzOL8LrPtGa7VOt1E7VnQ6hb3lNai5h65Nbv47ol/ uLPXciWaw43Za21zrl87IZlK+w31dR0NoRfVq2MVWzWhDqwuUSNS10rZ+Hz6GokH2dcrNik0hbEs 3nE/DS7gZW6eg57n4IYsMunuK1bbleGXKp27fO2z0dWFYZg+Pcll1fVcbPTgxtCcbVYzpJHA0h0p 5ZUokUhy1Qd5d0pMsjM5oSSoQTVeUCVZknbPtpezLbLjAI4wgSNsYfjm95qUGuV8N3LBKQKaUwO+ s3XrzraVKrigFB7AkpzN3le6EhrNvRuM+MZD6f/8zqvUcqqMrDpGGEHgWc0qRbTa/C4kx4yJQ7Pz nPvcMnUijZd+TnTL5Fs0H02tWmtO86U7nc0RuznELKSiNltd6jOfb9G3zvWue/3rYA87k55+9aZD 3exln7ras852pq/d7W2Ht9jnTve62/3ueM87hM7+drJjHe6A9zva/y74vvO97XpPvOIXz/jGO77u hY/74QM/+chTPu2Sx/zlCf/4znv+86APvejVY/nSV/70mjd96lHP+dWP/vWwj73sZ097lrN+8Lg3 vOtbz/vcZ773uv977YdP/OJ7veolkTtFkD9vqmxVv516YZO0Fs/oNz8s1D+5ywcXuOuv2+TcX7j/ 952/1YEryeVuPmCWFG27tw9dxJuSPoEaFSdF2+lpf8cYlKKGr6fdG+oVhmD/ZnU6BCwFRGL9s3aA 8ilhVSgitx9ksiyudT5ZkihudhGPZGIrpXy0dkNzFGMUgTEVxjbOJmIbwUej42QYxoEZwUd85DRZ ISdVIj6Ys1wsiBAimIIFxEVilRFx8lZYsmVZAoJgMXQIpihBZxXvJ1ZA1YMXkT6PtYPoQxVABULn 8zd/IxYTJ4CAEoP9AoQ1aCc3eBC30nI0BmHi1RFlYm9bFWESlxYZuDN/hBUAhID3NyhjSIZ0AjQk 1oBJSEp5iIcOeHChsz7N931XsYSkA0ZVsTB1/3Jw5UQs1ncYDFFN/QJyb9VG8teBzTM4X/iGHYeI aZMaRtgqQQc+A7KEAsQrfbYaPMWHewVUANQlrFhz1VSBXlKF51SBwcc7iXJMf8gh7zc3p0KIJrgq QxcZQ1cnj7JXj5gybaNfO4Fb1PdLQfhAV8KLJTcRcQheaWgVdVhatagRkYM5SGhvyagoOQYRpYiH TvVu0NhyXuiAAReDT9M2feRas6goPdcQ3fgnWqOP8eiEDxGOgoiP+PglghgWy2iKboUVqsg4AKcR +8c8W/VlkpSOG1gRK3U/2RcmIEk6qqMV65RjSaiEQ3UofxKE70g6DwYRYJIrg0KLQVhwQiVzHf84 VHw4k9s2k18ykmHRjbwyL4GoEAbpieOYEbrEi/kIcoaWdHdzVyGncRqHE11IkqMmFcmohFmpKiB5 Kv1iJkSoEFEyYP2Dceu3TRWhMi5zfyAJcmg0lgqUfvf4QKUzJq+hiupIcBe4JSfkJe8WVgHpW7vh WjvklbmYmGqjZgFCW/j4WgX2H/YmPhRIfUC1SCVEH5OpPexmmdQXQmkJHafiYv2HkAW3OtJYQRio Pc2oUt8IFzcUViC3lauJjRf3VuQjg5Y2EYD5hVcYkLEohFvBjH8SMFCpEb1piCMpgp6jNse5EKLj m4I5ncdiXZyoKADEbzKomw3GkK/Fh0EXVRz/EXTY2TdJiRHalXHKpCqVgk4SUY7GI2UjRour85zI mWZyBF0QqWo+eUKZU41XKRGAmT5f+EdDc3H7Q2v915CAFo31ORY7qDCGCI5fc5K3QpAcKSrl512Z uX8WsTBoQliYBipyGXN16YtZAaJfFmS1wztAGRGPlD4impkrtZbAhW8uBUY1ahGysWA4NJqoCIHk sz9O5YTOwX9uUjNgZG+R4xgZWIUtKpPTdjZL15AFEYxPtIydJS2xxjgmqIz3GFQMFVXBlZqPUY6P xKE785YXmDYUxTtfVRVMpF9+whFPCizmI4AslIcI9ykYpjxqZJ8xF5soup80JWRdemkneTd0/wKU BcSFSyOoCsRTOBRZ7hapY1FhIeGnWOGhV7qOFlFvbnJAJJFxkooQoip+tMg7JnKqa+lu+uWqE/F9 ExefR6dusmp+9DmRt7qTs2pxKWOqsBqfYlGUJjcWxppzyTqKxKF6wPd72zGX2zitz0prKJcT0joZ u4dzBYmtxvet4Bqu4jqueLes5Hquqomu6soVLFlb7kpb8Pqu8hqv9Dqv9lqv+Hqv+pqv/Lqv/tqv APuvAhuwBDuwBluwCHuwCpuwDLuv8FYxLQOxQiOxIeMxEnszEKssGXuxFRuxFMuxIHuxIjuyJEuy HVuyKJuyG6uyLNuyKnuyLhuzEyuzNFuzNv+bsf9nEFBoVF/2fBfpsz3Lsz+bfT0rmEX7s0J7tEE7 OEtLtBe5V9wXtVI7tVRbtZ5oVFabtVprtVC4tV77tV/LhmA7tlKrOmR7tlErtmi7tv1IhmXRSGJR ouCYq1R4GXALIXTrcnnbgvAWlkHyt0UUuIC7JIskuIY7uIebuGmSqebKjXa7txVBm6Yht3J6qtpS I5h7fpp7JHfIuZ6LfKCbubNYhJCrlHbbuC5SumupuhlqlGajuLCLuIibgLIbu7YLJKzLrQYhuV8R md3KozNRuA4hdyrBu946vB5HiRfBE+lIlm+bu8tHuVRhvF0hvZkKvQVpvRpxdL3bt7f7vbX/u4fg O76yq0oXGUC+FDjIV6uBy6rJV7S7WhT5pr5RIZdDS5PyN3GDM5HXd24VV43ZxyMP10ulWqobx7To m7/pplRPsY/360vyuzOOQ79XarnbajYfFXmjK4NTtX+tOi5r2ClYJi87R5sdiTMbGVR1uqq60oN3 65NkCSbBabaXYmwV9YsvHG/uVpEyKILKOWBwBY1iNb8yDJIpPITGtiiq1GcL1ZFhJZMqDMS4lqY9 R5Aq4bfkm8UvUrjhq8WHu3GAYsT6tz4clEZDuCWI5KlrYoEHp0gieCxLo38Xg0YvKJFQkTIfUWFW tCU1+oUzmIb7p5JOORgJmI235DljjKAv/5iLHXmFCLaVxDOZHJyAfIRIoePDnUsqswUmQTiDvrlS KknH4vOShVyJz0sWo7s5AcmfkXpwtkmgkXq3tHlKg4lqq3KhS0MsX6ZPKGG/HJQmnvk8qzyTJqM6 f1Ki6fjLxahBmFonfwM0A/WH5guFzkigINSAe5VGm5WZt6pB2NmHF1NOgiijIWbC3tvF6Ay7tOvF 6TwjGCWBGLxVkfOGCAeQOhwS88yaq5JTM5mEZVheo7U8v+ilvkmfx0zQ+rkQtAnPYsiPH+xUZSOj HbPGOquQE12ktZjNXkotfYaK89yJz7U+bUONyBgv1Msqmxu6KZ25UoFwLK3Snyu6GFU6rP/JkxbY f82zV7ubdJ3DUwG5kFPiynfYnAHtj406pYb4VAuJjRMqYyYROf8I1O7okEXURh29uA2dyzjBpnd4 jf1W1LPojFJ90Pe3SOCDoU6Bxe3sxeu81m5NrBsNw9b8YF6CQzK4SxWMz8AsLz/9ol/pjXDjtkRR z/Hjx6TypaUDlQZMQvLjpRdp117N16dD0QXBz4ramqxahkw9y2E5bWnIhlvEhF9KW0mdjVoHw1qI vWkz00K2gauiMm41x2YTkybN0ztVo689Knj4cdFIkHKbpoHcjHiiPYgNzatmzhB2J7ktPvJ4aYhl 0Yf9W8oNaMwtVuSJih8V0fqXkG7jf7z/bdascs7szNZcPN7t/M7yszIViEZVU9cuqipR9cLwN2dY 3d27fakzKZ6oTZaJ0k5TYmh/VNwc3RALnd4DA0CGFWX0+F2lbMfhsjLj2Eq77SY+1NEjUY7StLiF Y47W7ZrlR9mmXK1XnMGHl8pMbZhYfT7EuNR9utijBcz3kzL209yk7dvL06gNuMGhzISXQuBPzZon tI/jY5OTXU/869mjuVkynssdDt1HPtHiFeOpNOHgBd6kk7Mo/dZv3dbmTb7ZzZlmOMQriSsCVC95 vSJQLYlkPNlczW5bYlyvqxuELWKdLWXNuIqeTcgFbicUmD04nipUTeaFKhL8LGLsi47N/+2IFu5x WN3TR0FiWl0oj1jby3PKyMraYhhI/OiliRnPmQ7iQsWZ47SiYvhlr8jBM33jE4kml8jmTP1AxO2/ 4Z3pzziLnSXUlOzRF47VQJhvRkPkm43dFa2c+IhvOAPsB53KaB3QWk7e69fs562zoh4u2fPPNjlx pP1L7rvr2cNdIf1LsbjX5lnUlTjQgTTSQ4mdsRngsh7qfJ5t8gzM7t0xw1yoQmXRvXbnUY5q9G7a ycvo3f5DIQ3pkq3uDX7wCXG5K73wMX3HFsjwMB3xTt65MSrcqsOMoNmAnQU+vOvo9H7LB/3MZ5jn pOMQyxJ0CYM+zXgxldmE/K7QP/6NKv9p55h68Sr/cH3m8cx8zXsK60co7JVt0QsW2i0n8hY55lfu unzexSK3tM9uu1wuu0j7fF4u7e8uZVsmMosUNP2l26CO5FAdkkwk29927+ReF4QdPJc4MWkYKpli nXQhvIKu7T4va401KRqlsV+v7Xbol3mTTWjk9ZyN5ntdjNRtjiezQl0lvoR89QWJrwJKt+1KWxxo 4qQKcK8lk+kWRz+50/G2Jmu8bju6bgH6gCjt4/2bn1ryWj95Kctu76afbkCT3KY/+iu1prvLvy25 +iFHol/9+7wD+iIn+gfY2uFDXuIdvmAJr08/u+Utu/ga7dp6HcWrfEWSrc57rI1//e3/bq16QSMn rfTHO/Hiz3bhH+e1sb/q/x09lxTqD4jZYeJdcf4perpgwb2modowieUlv9bnx/QAIUgQtlYEDRZE eFAhNoMMEz5U+HAgQ4oOK1IseLHhxYwcKQq0qLGjRpIkR2KkeAWlyJImXbKEqRFky5U0bVaceZKm zo0VeRJU6fPlTaIfJ94MWlSp0plLne5M+lQqzqNTrTKMelWrzaZbi7bK6pVoq6pii175qVElRLYR 3baFyxBkXLpv7bINa5ZrWr0t8/bd2hUwScGDTf41TPVsyI0dHdd8zDgytisTJ1+GnFmyQ8SJ5Zb1 TLJzaKZ8B5MlbRJ0asp8O669G7tu/1xsc2Xfnh2xKk/eQ4XKBN075m+Pan3XJJ4c+XLGtQcKLx5d +efhzHtaHwn2enPsx0M25Ts6dGHWQMtfJF9e/PmS6RNrZ09wdWq0i3Pfx00d/37Z6wcLMi00/+JT 7Dz3PIMvvgMrCgu66baDsLLquKMQQp0SZG9BAQl0CkD2UIsPxPjqky6l/Piry7kTV5xtPge7iykn 7yyc8TUYS6zwxQpVfFBHGqn7EccgOcrKRyOBW4zD2gL0bMC+RCTQSQ49PA/K8qwsj8qbWCCrFS8B /NLLLsMkc0wzwTxTTLBUQrNNMd0sE84046xPTIK8vBMhPO3kc08/9dSzsj4BzbPQQf8N/RNRFhAl 9FBHE3200T8FQUtSSxnFtE+BIs0UUk8BBevTTi/lFKz5NGIhVVVXZbVVV1+FNdUAYqW1VltZmPVW XXXNdVdfae31V2GHDXZYYYs1Nlllfw3ANaMqg5ZSaaOldlprq8X2Wm2z5XZbb7sF91txwyV3XHPL RfdcddNld11324X3XXmjnUxJe+/FN1999+W3X3//BThggQcmuGCDD0Y44Q9rZHjCIW/ssWEhj3SY 4okljrhijCHmOMeNPdY45ItFVrhkk09GOWXrhGJSZZdfhpnfllvC8yOOBNIwZp135tkqLbEMdakL o0KTIhauONrijEdmemmnO34Y5Kb/oVaa6o+jxrpqqZ+mELyisboCMSYpxUlEssJetGe112Z7KRLl MhvamQ9r7O2CJGw7b733lrCjpsjGeypK8fby6IsC3ztxxWMOm0SyuMRqILJ3eqmVAJAuSCDDK0rb apI9n3pr0LnO+mqtS/9cdNVRD5313664fC1KWZgIpK7mrq1ZwIP+SMrFfwfeX8wrhTal53z3aVHA N8UJ+eCfhz5KlYhvSsKcW5p8et6xwj16778nrb5N0Uqq7wGHli/Un+s0vf3UXR89/tVPp9/91ut/ H38cbQcQraP6dhFRsteat2Gjc+BDYAJHlLn+ich8V9FOqCqzvu4p0IIXdIqEBDXB/95R5lQCVJ72 7OY8DJbQhKKZXgOTQrYFCcdygqBda2BolPzZj3T6u6EN5Qe/+emwhzUE4v1egrQZUspURpvcymiG tLT5r3NYOmEUpXgTSgXgeAZc4eW28iWUHKWCUwQjBvnkEMfULIxnRGPKvrhDHLLRhzyEYxt/KMQg 5rCOboTgjNK4Rz720Y9/BGQgBQlGOcbxjYVE5CEVecc52pGOj3RkJJU4SEpW0pKXxGQmNbnJ1CSS kYb8pCchicdRNpKUkjRlKkHJSVa20pWvhGUsZek9UaJylbas5Sl1qcpc8nKRpYTjLIU5TGIW05jH RCZpennLXTLTl6H8JS6j2cxlFjkymdfEZja1uU1u/rGa03wmML8JTXKKE5zOROfpurlOdrbTne+E Z8/Gac5ySrOe1DznPO1JT376JCAAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0035.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
= <= /td> <= /td> <= /td> <= /td> <= /td>
#def= ine STATUS 3
#def= ine RP0    5
bcf STATUS,RPO     ;Bank 0
clrf GPIO         ;Init GPIO
movlw 07h         ;Set GP<2:0> = to
movwf CMCON       ;digital IO
bsf STATUS,RP0    ;Bank 1
clrf ANSEL        ;Disable analog in= put
movlw 0Ch         ;Set GP<3:2> = as inputs
movwf TRISIO      ;and set GP<5:4,1:0>
                  ;as outputs
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0035_image109.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhFgEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAAH ARAAgAAAADNmZgL/jA0Jh+oPo5wx0FsZhsw+r3SbIy7aiGLdwa3sd7ZxUtJzilN3Dr9pfauNSkIe zhVE+kxLGzI0Kxqn0imz8TsVqzrtznpRRsWga9nscmLNYDDX+M42rfF2mOzDg4R6C/+7NVYmpZQx JwGk8YcH1fTU2LAIuLIRmBeTuCTpJ7iGpun1UlVI8th1KQaZqra3yvb66Uk6QamCeYvqGUtWOksU qrvba4qIu9qnyvr4KwqsWlt8aIiVSb3TV41QS8kczNrDAj3dCuzRfXae/Jo+rt6ubM37PT+M7Z7N SJuUewZOHh+pnD99AtelqedOBkBjxLIptEcPn7aD0WQxPLVQHbuEqPI2+sr3LtYzcQ79bbrHMBzF kBG/oOQ3z9JCTs1qriGlh+DMnXNK1jvZEpSIlSxhxfHJTqa5iwYPbXOJER1TnYM60byZEs3PZ3cc DT06Nem+gDbJmu0Xc+M4V3U2FQIKb+zMrhKhBs3YVGzHggV3efu5sq3cg3DjovUZFSvRkCUl3l3W d5bhIa7oVezH1mrRYeAoX7OL8LHlp0LNpi3tVFwl1ZY3K3tQAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0031.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
GPIO registe= r =3D 5
select bit 3<= /i>
= <= /td> <= /td> <= /td> <= /td>
To = test if the switch is on or off do the following
btfss   5,3=          ; test SWITCH input
goto   SwitchDebounce  ; SWITCH was low - reset timer
If = switch was low then bit 3 will be 0 and
btf= ss will not skip the next instruction
thus you will d= o the goto
If = switch was high, then the bit 3 will be 1
and= then the goto will be skipped.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0031_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBgQAAAAAAAP///wECAwL/lI+py+0Po5y02ouz3rz7D4biSJbmiabqyrbuC8fyTNf2jef6zvf+ DwwKh8Si8YhMKpfMpvMJjUqn1Kr1is1qt9yu9wsOi8fksvmMTqvX7Lb7DY/L5/S6/Y7P6/f8vv8P GCg4SFhoeIiYqLjI2Oj4CBkpOUlZaXmJmam5ydnp+QkaKjpKWmp6ahSAukoZoMoK6+gaS8v4Wotr eJvLG7jbC8z3G0x8N1yMLDebzKx83AyNthxNrfZcjQ12nc29td0NbvUdTh41Xo7ONJ3ODrXeDq8e Py9Pb390fq9/k7/vL9Pvn8AW7wYaBBjwoMIRBRc6VJGQR8OHwCLqmEixl0V+/xgz8tpYwxVIj6VG xhBJElrHHShTNluZA6bLj0JazlQZROZNmj5s7sTZQ+dPnheFDs1lFGLSo7WWmvDJNJpTElCjSrUx 1WpTGlW1XkXoFZxJDV3DfnWR1SyutBfKqpU6loLbtyrjRphL9+xTtnnXnsDbl9ksuwwABxZsuC3h w7FE8kWQmPHLyBAeS0ZqmfLlYI7HOV4wzfJmVu8w7lrXefFoVNeOuTbQeXW4b79aC4gtm5tnyA5e fc6d7dytbaqBrxJ+gLhxcshh914++y7vwtDFSk9OvXq35slra7f+4Bnu79vDk9dn+zz62sXVFxvv Pr78+fTr27+PP7/+/fz7+///D2CAAg5IYIEGHohgggouyGCDDj4IYYQSTkhhhRZeiGGGGm7IYYce fghiiCKOSGKJJp6IYooqrshiiy6+CGOMMs5IY4023ohjjjruyGOPPv4IZJBCDklkkUYeiWSSSi7J ZJNOPglllFJOSWWVVl6JZZZabslll15+CWaYYo5JZplmnolmmmquyWabbr4JZ5xyzklnnXbeiWee eu7JZ59+/glooIIOSmihhh6KaKKKLspoo44+Cmmkkk5KaaWWXopppppuymmnnn4Kaqiijkpqqaae imqqqq7KaquuvgprrLLOSmuttt6Ka6667sprr77+Cmywwg5LbLHGHotsssplLstss84+C2200k5L bbXWXottttpuy2233n4Lbrjijktuueaei2666q7LbrvuvgtvvPLOS2+99t6Lb7767stvv/7+C3DA Ag9McMEGH4xwwgovzHDDDj8MccQST0xxxRZfjPG7BQAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0039.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
Header section = of program.
<= /td> = = <= /td> <= /td>
list      p=3D12F675     ; list directive to
            ;define processor
#include <p12f675.inc> ; processor specific
                       ; variable definitions
__CONFIG  _CP_OFF & _WDT_OFF & _BODEN= _ON &
_PWRTE_ON & _INTRC_OSC_NOCLKOUT & _MCLRE_OFF &
_C= PD_OFF
 '__CONFIG' directive is used to embed configuration word within
= .asm file. The labels following the directive are located in the
= respective .inc file. See data sheet for additional information on
= configuration word settings.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0040.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td>
= Define the constants to be used in this
program
= <= /td> <= /td> <= /td>
#def= ine Bank0     0x00
#def= ine Bank1     0x80
#define SWITCH     GPIO,3
#define D0_1Tris   B'11001111'
#define D0On     B'00010000'<= br>
#define D0Off     B'00000000‘=
#def= ine Flags     0x20
#define LEDOn     Flags,0
= We define Flags as register 20 it will be used to hold
= working values for the program
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0042.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
= <= /td> <= /td> <= /td>
   = ORG     0x000  ; processor reset vector
=    goto    Init        ; go to begi= nning of prog
;Interrupt V= ector
=    ORG=    0x004
=    return          ; interrupt trap
=                              ; - retu= rns without re-enabling
<= /td> <= /td> = <= /td>
The ORG directive says where the instruction start in ROM.
= Address 0 is where the hardware starts running
Address 4 is where the hardware goes on an interrupt
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0042_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBgQAAAAAAAJfNzP///wL/nI+py+0Po5y02ouz3rz7D4biSJbmiabqyrbuC8fyTNf2jef6zvf+ DwwKh8Si8YhMKpfMpvMJjUqn1Kr1is1qt9yu9wsOi8fksvmMTqvX7Lb7DY/L5/S6/Y7P6/f8vv8P GCg4SFhoeIiYqLjI2Oj4CBkpOUlZaXmJmam5ydnp+QkaKjpKWmp6ipqqusra6voKGys7S1tre4ub q7vL2+v7CxwsPExcbHyMnKy8zNzs/AwdLT1NXW19jZ2tvc3d7f0NHi4+Tl5ufo6err7O3u7+Dh8v P09fb3+Pn6+/z9/v/w8woMCBBAsaPIgwocKFDBs6fAgxosSJFCtavIgxo8aN/xw7evwIMqTIkSRL mjyJMqXKlSxbunwJM6bMmTRr2ryJM6fOnTx7+vwJNKjQoUSLGj2KNKnSpUybOn0KNarUqVSrWr2K NavWrVy7ev0KNqzYsWTLmj2LNq3atWzbun0LN67cuXTr2r2LN6/evXz7+v0LOLDgwYQLGz6MOLHi xYwbO34MObLkyZQrW76MObPmzZw7e/4MOrTo0aRLmz6NOrXq1axbu34NO7bs2bRr276NO7fu3bx7 +/4NPLjw4cSLGz+OPLny5cybO9cbILr06dSrW7+OPbv27dy7e/8OPrz48eTLmz+PPr369dWHBBAA P778+fTr27+PP7/+/fz7+///D2CAAg5IYIEGHohgggrKF4B7Cz4IYYQSTkhhhRZeiGGFDQrxXoYe fghiiCKOSGKJ+20YRIcmrshiiy6+CGOIKAKhYow23ohjjjrGOOMPNe4IZJBCDklkgD368GORSi7J ZJMwHtlDkk5OSWWVVi4IJQ9SXslll15+GV+WO2wJZplmnhmkmDqQiWabbr5Jopo5sAlnnXbe+aCc ONCJZ59+/nmig4AOSmih9el5A5+GLsromYjaoGijkk5a5aM1REppppoOaSkNmG4Kaqg8Cipqqabm 2OkMn57KaqsWpirDqq7OSmuCsMYga6267vrfrTDkymuwwh5K6rDGHsufry//AItss7Qq6wKzzk57 KrQtSEtttqBaywK22n47KbcreAtuuYaKqwK55q77J7opqMtuvHa6iwK88t7bJr0n2Itvv2DqawK/ /g58JcAlCExwwk4aTALCCj9cJMMjOAxxxUBKLALFFm98I8YhaMxxyC56DALIIp9cIskfmIxyyyCq 7AHLLs98IcwdyExzzhLazAHOOv+sIM8b+Ax00QUKrQHRRi8NINIZKM101IFyKHXVLDqNAdRWb81g sVx/jSHWF2gNttViW0B22VKfXUHaajPNNgVuv2103BPMTTfQdkuAd9467x1B337TDDgEgg/ucuEP HI44yoo7wHjjIj/eQOSSw3NMOQOWX25x5gtszjnEnisAeugKj55A6aYTjDoCqq/ub+sHvA47vrIb QHvt8t4+QO66s8u777+bG/zwwxdvvO7IJw/78syb7vzznEcvveTUV4/49dj7rf32dHfvvdrghw/2 +ORzbf75Znutvvjst1++e+zNT3/99t+Pf/7678+//s/9D8AACnCABCygAQ+IwAQqcIEMbKADHwjB CEpwghSsoAUviMEManCDHOygBz8IwhCKcIQkLKEJT4jCFKpwhdAoAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0042_image110.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh4AAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADV ABQAgAAAADNmZgL/jI+gy+2Lonm02ouzCrr7DyXgFkoiaErjylZnC38RepTpRN94zMtvD6TMPEOA rpbbBZfEH/PJKkqLG5VtNsQ6jdaMqaGt3a7cLpUR5gid2UT7S42nrm7RUU1HXsb5+258slWlAzZH VgY4J6d3NKjyRuj4ZdEo6ceoWKfncIcWiah2mbkJiTeFiWRVevhwiqOqqQSKB1q7Fvualpu6autr 62oaaDfMiyrreRxaPHF2hgjtwrysLGl9HZy8+5ntW2rY2umNW7jpGH37R1wNPH39+z05bqxOzxde me3MJmZeXr9NmL13veYNpOTOIDVkewQRJKeNVokyDdl1K4hR3KyHdRYTwvJHB5u7fSDlpWv2bWO7 jsrAzVoEcKHAmF1Omhk56dnLivdeevwpyl9PhRyVDLVJCug6hr+QkqyZsWUlfPxYxvwHEilWVh+Z 6tzq8FlUo1+hmD27pCwrtGzbunXrUlrWt3Tr2vVRUy7Tu3z7+lXpxaHfAgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0043.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> <= /td> <= /td> <= /td> <= /td> <= /td> <= /td>
I= nit
   call 0x3FF     =     ; retrieve factory calibration value=
               ; comment instruction = if using
s= imulator
=    BANKSEL Bank1
   movwf OSCCAL     =  ; update register with factory cal v= alue
   movlw D0_1Tris     =     ; set direction so LEDs D0, D1 are
o= utputs
   movwf TRISIO   ; all others are inputs (high-z)
   clrf   ANSEL     ; configure A/D I/O as digital
   banksel Bank0   ; change back to PORT memory bank
   movlw CM2 | CM1 | CM0 ; comparator digital I/O
=    movwf CMCON
=    clrf Flags     ; set initial LED state as off
=    call   ToggleLED   ; light initial LED
<= span style=3D'mso-spacerun:yes'>     goto Main
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0043_image111.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhkwAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACJ ABAAgAAAADNmZgLxjA2py3rIQnytricBtrz3fWWeRo3T4aChaiYg2MYN7Ioe3b5lyuYlLpPRICZg sNY7nojK5s32ZG2GmCoRZ7XpetZKN8pkIsO7bNl8lqJ5EJh5aVyR5dsrun23q/KZev+n9sbhprVG CLiHKObH9pf4KNYoaUHYKHE3SQLpuLgzw6iJVwWX+amWuTYmAorliRnKuZpl+eV1hioIOwmKS8Hq OgsbbJtIRxuoJ6oMxfubpBobpGMMnZYcrUvthx23rToISHeZmp29vVw9aq74nf6szWxoLbtJ2y6s 3jzsDjZOnD9vFzBFhSC1o2KkFjgUkUoVAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0041.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
Assembler<= /i>
<= /td> <= /td> = <= /td>
Main
=  btfsc SWITCH                ; wait in loop until = SWITCH closure sensed
=  goto Main                ; SWITCH closure grounds= input pin
=  call ToggleLED                ; SWI= TCH closure sensed - toggle LED
=  call SwitchDebounce   ; wait for switch to release and settle
<= span style=3D'mso-spacerun:yes'> goto=    Main
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0041_background.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3AFlAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADb AWQBgQAAAAAAAJfNzP///wL/nI+py+0Po5y02ouz3rz7D4biSJbmiabqyrbuC8fyTNf2jef6zvf+ DwwKh8Si8YhMKpfMpvMJjUqn1Kr1is1qt9yu9wsOi8fksvmMTqvX7Lb7DY/L5/S6/Y7P6/f8vv8P GCg4SFhoeIiYqLjI2Oj4CBkpOUlZaXmJmam5ydnp+QkaKjpKWmp6ipqqusra6voKGys7S1tre4ub q7vL2+v7CxwsPExcbHyMnKy8zNzs/AwdLT1NXW19jZ2tvc3d7f0NHi4+Tl5ufo6err7O3u7+Dh8v P09fb3+Pn6+/z9/v/w8woMCBBAsaPIgwocKFDBs6fAgxosSJFCtavIgxo8aN/xw7evwIMqTIkSRL mjyJMqXKlSxbunwJ00KAmTRr2ryJM6fOnTx7+vwJNKjQoUSLGj2KNKnSpUjRBBAANarUqVSrWr2K NavWrVy7ev0KNqzYsWTLmj2LtmyAM0/Tun0LN67cuXTr2r07dq2Ztnj7+v0LOLDgwX71luFLOLHi xYwbO3ZrmAzix5QrW76M+W7kMZMze/4MOrTozWI6iz6NOrVqvKTDmF4NO7bs2Vpbg3lNO7fu3aPZ 8v4NPLhl219wCz+OPHlc4l6MK38OPTpX5l2cS7+OXTp1Ltaze/8OfPuW7mnJgz+PHrLvvubTu38P VrwW00/b0oxaf/J9/DOh7v+HD2CAea03VX8C8GWfffgteCCD7QkIIYTyZUGff1IpaGGDDF6YYYQe fljVhFhUeKBNGmZ4k381nQhiiyCKeEVnCFKFGIYcouhijh/CaIWMGybY4Ywn2qhjkfDxWIWP/M1o 4JIX7tekkVKehyQV7T04ZZYAVjnFlVp+aSSXUngJZpktihkFlmauGSGaUKjJZpxHEihnnTq6+QSc LOLIWHfk6TmdXEra+RieTuiJG6AFnuXcn3UpemOHhGJmaBOIXgXphmQ1ulWmWHkqKaiTzlUpE8YJ qWCTNv7HYZQlJkifgag2+F+tJVrVX42qOmihq/wN6Sut+skq6604upqrpKP/LkcnjSqiiGG0mj47 JK/O9kptfUE6SCS0P3qrYbGLGivkk9vySS64qIq6LKbNjivurLRG+i2L3VKrbrjKnqspkdFKq2yN 9l6rr7XVFozwnu0yu9en85YrbYrjJqwtpslqm+q0/IbK8cMH7ymwwBP7OzCf8u678FulLtEokAcz 6bDB8xIcLpCrEjxoucBmS+/LPYsccr0kf5yyoO8W6LK8N48sdIg3EovwyUruGmrSGlu9L8QGK12v wkWr13DMHwP8qtNVIxgr1QWrqnas9iLbtdtok/g22ucuraK4X4N92N6f3ev3pkcHntjJhJu1shLs Ho44q4wjPvjjks+WeBKL/0+OeeGRZ855b2E/2imNEr96eedZVo4Ep4iHPjHOpk+O+hGqq1Ubza17 /frXsRtxKtu67rxqsIPaXnruOe5exOxK/4vu8Hmzqp/xhyNPhOpBLwh0yT3jDrL0hFM/xKn9Dpz9 6Fdjerv3KYMvhPgcS4292BpPW7z6O26utc8mn59+v/Xb3yb8ze1Jvgte2u6DkyUFC4DtYl8QMuU8 BkowKw4EApzgN8EM1mZzFKyV4zQIwgtxMIQkREsFf/C/EpLwhD5IoQpByMIeiKp3eUHfC+UUQx4s jm5iSdQNcTjC+Jithzb8IZtyuAP3RW1XjvMgzJAGLCZFyVbbmqIVXWjEPv/hj2f6o9u6rqU3l0WN X/HaWhaTg0QduE9v1iJR9lpXMXTNrHnfGh4Wz0iYNOZAfBhTYMbM9kZ65WdF5Hta3BRmPjz+Ro84 aBkX1zbE6zENkYuSpM7iOD9FhoeDUOtiJGXGrbthUIyjzKQmacPIGyiPgMyDo9qgOMBeXdFc+Sub k04ZnFTa4I64NJ0ua8DLXnLulzQIpjAxR8wZGPOYkkumDJbJTMY5MwbQjOb3BrdAa4ZwmjDgoTZv yM0XePObKgynC2Tku/jZsmS2wiQ5CWXOFngxlPobo7He2cCjDW2f6pQjPkcVTxa40ZCkY+Lz2PjP SQV0BTlrVSYrFsGE2mn/oSpoKB2r1jSJLouiKbDjK5uItFpqNE4cRUE1uTdSLZX0BNU8aUqPs1IT uPSlJA0iTe0X0xLM9KZmyikJdspTMPl0BEANqkptatTcDVUERU2qlJYagqY6tUhQBYFUp3o8pGI1 c1X9wFW3+iK2MGWsZC2rWc+K1rSqda1sbUpM3grXuMp1rnStq13vite86nWvfO2rX/8K2MAKdrCE LaxhD4vYxCp2sYxtrGMfC9nISnaylK2sZS+L2cxqdrOc7axnPwva0Ip2tKQtrWlPi9rUqna1rG2t a18L29jKdra0ra1tb4vb3Op2t7ztrW9/C9zgCne4xC2ucY+L3OQqd7nMhm2uc58L3ehKd7rUra51 r4vd7Gp3u9ztrne/C97wine85C2vec+L3vSqd73sba973wvf+Mp3vvStr33vi9/86ne//O2vf/8L 4AALeMAELrCBD4zgBCt4wQxusIMfDOEIS3jCFK6whS+M4QxreMMc7rCHPwziEIt4xCQusYlPjOIU q3jF9S0AADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0041_image112.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhrAAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACACi ABQAgAAAADNmZgL/jA+Zh+r/WIC02ouz3rwDOTmg9zHkiaaqOooS+a7yTK9gWMb1zvf8rQBGhAtT znDEVV7GoKmZXD6niOZNyTRqW0mk84qLgRvS8ZcLHprTXd14Mpo6j2e2+K1Us9vet2t9xXeHl1aF ZIXYMKgIpXe46FVExTj5COW2RdkXaAhHRtECWWeBqZlXukmW9VlmKpka6vnqGOv6mvcXKZoblqlb CWoWNWwnS1fnaYuKO3rrzNu8PMybDBsC6Xutmmj9PA0h/T29G6XjvYutvG2qbStO3eV9W21NT+84 /5z+28svTG6OFppGgiIVtGTwYDdigSSdoVZr4TtkA1nNY4XnXUaGMuzWPYR2DCDBUY0CggyG8B44 X/qy+Xg5EWYzmTRryvOx0aZOmCZ/+NkJtEdPoUSCOigAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0044.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> = <= /td> <= /td> <= /td> <= /td>
T= oggleLED
   btfss   LEDOn     ; test flag of present LED condit= ion
   goto   TurnLedOn   ; the LED is presently off - go tur= n it on

T= urnLedOff
   bcf=    LEDOn     ; clear flag to indicate LED is o= ff
=    movlw   D0Off   ; data for all LED outputs low<= /font>
=    movwf   GPIO   ; send data to GPIO port=
=    return     ; return to calling routine

T= urnLedOn
   bsf=    LEDOn     ; set flag to indicate LED is on<= /b>
=    movlw   D0On   ; data to forward bias LED0
=                                            ; and reverse bias LED1
=    movwf   GPIO   ; send data to GPIO port=
=    return     ; return to calling routine
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0044_image113.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHAEgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAAP ARQAgAAAADNmZgL/jI8Hy+0Po1xp2lZl2oZxdYUikI3m6Tklqn0IC0drPKsuSN5BjNY8/8IEfzYd 8efrDSG6DqV5DCWjo9mUBqWyrtXlo7l7GrUtHPnEPYudZXaxs6pkrBup9x1u5+sTjhA39+IlB3hX ArYXRGjmwffnhjc4lnOzlkfpqNd3h/d4+fXRWAiShRnoJ8qGmBpWainJyJpZmnVYuRnriWrpqYnJ C0wbOmkrTGocysq0eCx4qsD3nJirrLdr2ivDiR3M7ORYFz0k3Wnaqjienlv8rd4++h4/DVmufQuM D5rJzc1+buYPH7lsAfkVrDewn7t/8pLZo6dr1pIrLqophMfwUsGNzbDkVQNjMdtFVegwdpu0jFq+ lG64VDRYkmSzlgsFxvyUUJpDHxxNwpyZUYlKfg/nQWTp8aTMpDaBfuwYVOknfRDD6TT3CutIpkh3 gXx17cJAcc5u6tJ6lejWp2ZXyopDbIy/fVR3Inv5UGqyu5Hmotrb9qdDtREh3f07cXBRr4fp1k18 i29fw3HH1nxLeSjhVGrLcjU3tSu4xpoj1l0LtahquG0PglWD66hb2LRr276N+wzpbbl7+/4N/Pbu 0sGLGz+O3FrVsMmbO38eogAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0045.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> = <= /td> <= /td> <= /td>
=    btfss   LEDOn
=    goto   TurnLedOn
=    goto      TurnLedOff
The= first instruction skips the following instruction
if = the LEDOn flag is set.
Thu= s if it is not on, the goto TurnLedOn
ins= truction is executed, if it is on, the mpu goes
to TurnLedOff
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0045_image114.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhgQAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACAB5 ABAAgAAAADNmZgLJjI8Hy+0PX4i0rmkzzi15w4WdIlZI6Zzo56Fc4kJwPJdsHUd4Duyi/1PpWhLi TWNkFZOfpZABvChHzaNJaZUes1oi9Emd9lQ3cIpcBnXTzS8XWJtFo+HNmeRWj0m7uBD+h+a1hjS4 p0fBhHi44YdXJ5ZFB4kHaNXHlmenKcYouJg45dMiB8bWaMYY9LlZyGca+PqoejW7hjHH6lI6yyu7 qIhqe+uIyzrcReipdkos3EnHtYzYNlS9zOl1LT15ne0Eemc26AspjlcAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0046.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1252" An example Program
<= /td> <= /td> <= /td> = <= /td> <= /td> <= /td> <= /td>
Swi= tchDebounce
    call inittim
SD2
   btfss   5,3     =    ; test SWITCH input
   goto   SwitchDebounce  ; SWITCH was low - reset timer
   btfss PIR1,0                ; wrap round?<= br>
   goto   SD2     =      ; not counted to zero yet, continue
   return          ; full countdown
                                        ; and no bounces – exit
   END=          ; directive 'end of program‘
                                ; thi= s must terminate code
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/v3_slide0046_image115.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh3gAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAACADT ABAAgAAAADNmZgL/jI+gy+0YnJy02ouz3jgyyHXQGAJgiabqyppHc7bLSIexjOc6d7uvTiPxErui 8TgjIj9KYbIHUj6kilg00as6YdvJ1cD8PcHaYJP4JXOt0ps5/Ia7zy+zuFyTU6d5n5Ntp3YS6DE2 2EYXZNjn1wcIRlgYqJdFSTbZuKiI5xGpiYX489iZdllKKnbKyYlqmrq3qNcYMboqx2o7Oiea2CqJ 1mubWZtJQSwBFUyczPvaLBiXSyh86OwKXRdc7DXNfbddaigsbe3rKT6bt6xdu/69ZmdM1c4+D1zO 2j07zod9/fsMIKR6zm5tYOaPFkE15BLy+6Sr4cB72SiaA2dRYcaHTehikagWEJ4vdEIi7dqU7qSj accw5YPVEeK/TTQtdpykD6Ile4xSgsInUyTMmDplJvxHLVTJaP2+pZH3blU4fj01XajEcSquYsfy LSgAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0001.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
An example Program
switch reading, the most basic computer input operation
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master05_image116.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh/QFLAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAD8 AUkBgAAAADNmZgL/hI+pF+0Po5y02ouz3rz7D4biSJbmiZLLyrZsCsfyTNf2jef63ri+zwsKh8Si 8YhMBn5MhvIJjUqn1Kqp6bJqt9yu91vDLsDksvmM3ooR6bb7DY+j1vK6/Y63N/P8vv9PxQQ4SFho OPNzqLjI2GiR5RgpOTn4QnmJmfm2otnp+ak1BjpKWkqkYJqquhqTwPoKG9vBJltre7vkhLvLW6rb CxycaSBcbBxJfKy8XAnA/Ax9lxxNXX02bZ2tvc3d7f0NHi4+Tl5ufo6err7O3u7+Dh8vP09fb3+P n6+/z9/v/w8woMCBBAsaPIgwocKFDBs6fAgxosSJFCtavIgxo8aN/xw7evwIMqTIkSRLmjyJMqXK lSxbunwJM6bMmTRr2ryJM6fOnTx7+vwJNKjQoUSLGj2KNKnSpUybOn0KNarUqVSrWr2KNavWrVy7 ev0KNqzYsWTLmj2LNq3atWzbun0LN67cuXTr2r2LN6/evXz7+v0LOLDgwYQLGz6MOLHixYwbO34M ObLkyZQrW76MObPmzZw7e/4MOrTo0aRLmz6NOrXq1axbu34NO7bs2bRr276NO7fu3bx7+/4NPLjw 4cSLGz+OPLny5cybO38OPbr06dSrW7+OPbv27dy7e/8OPrz48eTLmz+PPr369ezbu38PP778+fTr 27+PP7/+/fz7+9b/D2CAAg5IYIEGHohgggouyGCDDj4IYYQSTkhhhRZeiGGGGm7IYYcefghiiCKO SGKJJp6IYooqrshiiy6+CGOMMs5IY4023ohjjjruyGOPPv4IZJBCDklkkUYeiWSSSi7JZJNOPgll lFJOSWWVVl6JZZZabslll15+CWaYYo5JZplmnolmmmquyWabbh7kDJBx+ogNj3XueKeOeeb4C557 2kiLnYHy6YqfheLICaGiAGoJo43OmAikgsQoBoxrzLnipX2WqOmhnHaKyoigQsLhqFhg2GkBADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master05_image117.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh7gEvAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADt AS0BgQAAAMzMmf///wECAwL/hI8py+0Po5y02ouz3rz7D4biSJZmmaTqCpzuC8fyTNf2jecCyx/6 DwwKh8SisdhbHZfMpvMJjbqSCKn1is1qtzUq9wsOi8fZHvmMTqvXJxb7DY/L2e65/Y7PM1X6vv8P +JISSFhoeAihgLjI2HhX5RgpORnmQ3mJmelkoNnp+YnDCTpKWhrSYpqquiqByvoKW+oaS1tre4ub q7vL2+v7CxwsPExcbHyMnKy8zNzs/AwdLT1NXW19jZ2tvc3d7f0NHi4+Tl5ufo6err7O3u7+Dh8v P09fb3+Pn6+/z9/v/w8woMCBBAsaPIgwocKFDBs6fAgxosSJFCtavIgxo8aN/xw7evwIMqTIkSRL mjyJMqXKlSxbunwJM6bMmTRr2ryJM6fOnTx7+vwJNKjQoUSLGj2KNKnSpUybOn0KNarUqVSrWr2K NavWrVy7ev0KNqzYsWTLmj2LNq3atWzbun0LN67cuXTr2r2LN6/evXz7+v0LOLDgwYQLGz6MOLHi xYwbO34MObLkyZQrW76MObPmzZw7e/4MOrTo0aRLmz6NOrXq1axbu34NO7bs2bRr276NO7fu3bx7 +/4NPLjw4cRxBTiOPLny5cybO38OPbr06dSrW7+OPbv27dy7e3f+7Lv48eTLmz+PPr369ciRsX8P P778+fTrex8GXnB+X8wX9//npVxkAeqSXGUD1nIgZQnCUiBmC67SoGbtMTjhZhGqcqGFFaayYWcd kpKhh8dhOKJoH35yomcparIiZy1i8qKEJY4So4YBgDgjaTVGsmNmPTby42VBLjKkgTl6UqSCR3aS 5GRNFvKkgEuyOCVoUQZy5WNZ/rFlY1328aV/VcI4poplUhKmYmnisSZibdrxpmFxyjEnYXXCcad+ Z06SZ2B9rvHnX4GmMWhfhZ5x6F6JjrFoXo2G8ehdkX4xaV2VbnHpXJlmsWlcnV7x6VuhSjFqW6VC cepaqTqxalqtMvHqWbEeMWtZtRZx61i5DrFrWL0G8etXwf4wbFfF5nDsVsn/3rBsVs3W8OxV0c4w bVXVxnDtVNm+sG1U3Z7w7VPhljBuU+WOcO5S6YawblLtfvDuUfF2MG9R9W5w71D5ZrBvUP1e8O9P AVcwcE8FT3DwTglHsHBODT/w8E0RNzBxTRUvcPFMGWcs08Z72ojjjaZ5LHJpJJ928sgf87iyjy0D +bKQMRM5s5Elo1izkjcjmbOTPUP5s5Q7Mxk0ZCmbXDQgHMd0tI5Jc/m0l1GDObWYQ1N5tZVV57E0 TE2P9qkoT3T9UtizNEG2S2ZHkXZLZp+9RNssdQoJq1snRrcldmf9Wd56w3q3m4F/wAfag8t5OAdK GM63mY3rUEfcids5eQZm/0j+uIuVX+AFrZv7+TkFVIhNhNwrRTp63UKYrlKjqRcObOiCyt7A6zzE njnIOdj+Og6sp5Qn78IPTzwAv6OEXPHKL8+88seflHzz0k9PfQrPmxR99dpvX/z1JWXPffjiJ+E9 SeCPj376B5Qf0vnqvy8++yC5D3/91YfouP36cy9/R/TvD0Dn9U8jyQmgAaU3wIz874AMfB3+HLTA BkqQfAmkSAEniEHePdBnx8mgB223QaNF8IMkRMCDhNbBEqqwByeUWgpXCEMWtBBvF4yhDVXwHxoq 54Y8XMFzQNecHgrRh/YpohGPiMQkKhE6Q2yiDJcIxShKcYpUnI4Trzi6KgxqcYtc7GJ5sKiCAgAA Ow== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Keyboards
lA computer keyboard typically uses a microprocessor to scan the switches. Similarly in remote control units for TVs etc.
mpu
column select lines
row select
lines
switches
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master04_image118.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBwJnAXcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAF AmUBgAAAADNmZgL/hI+pi+EPo5y02ouz3rz7D4biSJbmiaYew7bu607wTNf2jef6zvf+DwwKh0Sg rKiTIJfMpvMJjUqnVKeyeohgt9yu9wsOi3NaKmSMTqvX7LZ7cYbG3/S6/Y7Pz+bLh/4PGCg4uOWH ZEiYqLjI2PiCGATpOElZafkm2ZN5ydnp+WnlYBQAWmp6irojqkma6voK+7qa1Bpre4tLOXuzm+v7 C4zXu1cbbHyMPDYcs5zs/AzN1MwwHW19jc1b3VCc7f0NzrJtMB5ufv48Xo7O3p67ve4uP59a3U2P ny8rfq/v/99pWTyABAsGGjbQoMKFmO71YwgxYp1uCSVavIhlV0WM/xw7Siu20aPIkT40kjyJMhS5 hylbuiTTKuTLmTNXsaSJM6eCmDp7+twJQObPoRb5ED3q0ijSpSSVMn3K0SnUqRGlUr1q0CrWrf60 cv06zyvYsejEkj37zSzatdbUsn2bzC3cucDk0r17yy7eva708v1byi/gwZwEEz48yTDixYoUM358 cBPkybokU768yDHmzWw0c/6szDLo0XY8kz6dUTTq1WlMs37dxDXs2URk0779wzbu3bSE8v7Nyjfw 4Th0Ez9OTTXy5TyMM2fu/Dny6NKJU68O/Dp23tq34+7unTb48LDHk2dt/jzq9OpJs28P+j18zvLn Y65vnzL+/JD38/9nHJ0KAg5IYIEGHohgggouyCAJQwTYYIQSTkhhhRZeiKGBDyr3n3T+dXjYhyAO JuKIf5Vo4l4opnjXiizO5eKLb8Uo41o01njWjTiOpeOOX/Xo41ZABnnVkEROZeSRTyWp5FJMNnnU k1AOJeWUPlVppU5YZonTllzWxOGX8YUpJn1klnnfmWjqp+aa/bXpJoBwxomYl3SKZOedHeWpJ0Z8 9lnUnIDy9eegEBVq6EKIJpqVoIzC6OijM0YqqY2UVprjpZjyqOmmP3bqqZCghlrkqKQiaeqpS6aq qpOsthrlq7BSKeusV9Zqq5a45trlrryCKdyvrgYrbKzEFkvrscj/3qrssro262yv0EYL7E3UYrXo tdBkq60z3HaLzLfgGiPuuHX5am6g06aLJ7rsHuruu4rGK2+j69Z7Ubn4wqLvvn3R629XAAecT78E m2LwwaAkrLAnDDdc2MAQt/PwxJVUbHFiEmdsDsYcM+Lxx41tLLI3IZc8yMkoR3bvyvKo7LIeMMcs DMk0p2PzzXHlrPMxM/fcUMtAg/Pz0J3xbLQvRSfdGtJM5+X007EsLXUYVFf9xdVYd6H11oVE7fUp XYdtBthkL2z22Q6nrXbEQrd97ttwK8323JXJbTfUeOc9dd18g+z33yPvLXg9gRee8uGIs2zt4iYr 7rjMkEdeM+GU/69t+eVuN675tpN3HjTnoO+c+egal256I2Onrg3qrA8u+uu/rC47Ma7XLgjtuDNz ++5/6O57cr0HX3nsxMvy+fFcAK98Fsk3XwXz0EvfPPXKW3889sRrHzz3vnu/O/i4i187+bKb/zr6 rKufOvumuz86/KDL3zn9mtt/Of6U6x85/477vzgAIk6AhSOg4Az4NwTyTYF5Y6DdHDg3CMJNgm2j oNoseDYMkk2DYeOg1zy4NRBiTYRVI6HUTPg0FDJNhUljodFcODQYAk2GPaOhzmx4MxzSTIcx46HL fLgyIKJMiCUjosiM+DEkckyJGWOixZw4MShCTIoNo6LCrHgwLPoSTIsB46K/vLgvMOJLjPUio7zM +C40skuN6WKjudw4LjiCS47doqO27HgtPFJLj9Hio7P8uCxRGA96CCPFIAm5sJUgcnaKXCQubHJI R1piFpGUpC64YUl+gaSSmcwMRTrZl51wEpS5c8goSQkIgZwSlTVLDivXxo9XXsIeq5SlG+BRS1uq oRzD02VtRNdLXwohHsEUZkkGWUxj0qI4uVRm2ZbpzNLI5HnCjBQ1bampZEYTDsrS5jad98tmfjM4 h/CmMXF1zekRLp3dKx0gcYSfK4wzN2XwgjznaYMjNC1D/OynP/8J0IAKdAUTGahBD4rQhCp0oRhg QgEAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image119.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhLAB8AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAr AHoAgQAAAAAAAJfNzAECAwLijI+pyywPo5y0SmOz1nj7L3TgWInkCZnoqa5j635wvM10Zt9loL98 L/sBa8IhrmjcJTnI5aXpTEGjoWk0t8QmtUbu0AsE98Q68s1MQ8fULvbKjYKzrE45ye6jUvAgflA/ 4echSAT4ZBhByIQoxfigeORYJQlpUankeLlHl8W55dkF+iUaRjpmWoZ6pprGuubaBvsmG0c7R2l7 l5uXudvn+9eLOyxczKgZCDyoXGiMiHzobAidyLwoDUjdiK2n/WgdyU3lPSl+BW6JjnmsvknM/v7c nhw/PR8Nb153X93g/w+wAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image120.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQCD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image121.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACC AAEAgAAAAAAAAAIKjI+py+0Po5yuAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image122.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image123.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image124.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBWAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AFQAgAAAAAAAAAIIjI+py+0PVQEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image125.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBbAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AFkAgAAAAAAAAAIIjI+py+0PXwEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image126.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgB0AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AHIAgAAAAAAAAAIJjI+py+0Po2wFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image127.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBrAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AGkAgAAAAAAAAAIIjI+py+0PoywAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image128.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBnAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AGUAgAAAAAAAAAIIjI+py+0PoysAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image129.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHwACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAd AAEAgAAAAAAAAAIFjI+pGwUAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image130.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhKAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAm AAEAgAAAAAAAAAIFjI+py1YAO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image131.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AAkAgAAAAAAAAAIDjH8FADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image132.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCwALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAJ AAkAgAAAAAAAAAIKjG+gy+0Po4yoFgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image133.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAE AAoAgAAAAAAAAAIIjAOpy+17QgEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image134.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAK AAEAgAAAAAAAAAIDjI8FADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image135.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAE AAEAgAAAAAAAAAICjFMAO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image136.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhvwAqAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAC9 ACkAgAAAAAAAAALXhI+py+0PQ4C02ouz3rxL2YXiSJbKh6bqyqZmMr3yTMYvSh92zvfRDPLtfMSi YWisJYGtpvMJjUqn1Kr1Gl3CtCYk9yvygjPisflSPlPS6jaD7X7H5z+6BW5v4/OAPX/sZxf4xzU4 Z3iIpbjI2Oj4+EGIgOhGKUlkqZZ5ybNp5skJFLoAOtpluoWqp6rDqunaBwsDSVtryyiLUfq1m0sG 2+uLBixcSFy8FJyMvOyqzOzwbCQNTXrse5utLVVdRN1d/A2OPV4eIm7unL6uy+6+9p643RI/VwAA Ow== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image137.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhSgAkAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABI ACIAgAAAAAAAAAJbhI+pyxkNo5zUvIqzRnf733TgFJTmiaZqOrbuC8fyTNf2jedQqdNnv1gJh8Ti EPfz6XhKYNMpE0Gn1Kr1is1qt9yuRuj9hjPScaRsDqUp6LWi7ebEz8a6/Y4qAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0003_image138.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhdABBAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABz AD8AgQAAAAAAAJfNzAECAwL/hBEhkucPX1Iz2jhXuzfpjXlMAInLx3HKeZKptbLje5isK8lorbd0 3mP8HDEd7lWUHRFBoSGpHD6bGimzuYRRl9DbFGvteodiH5LqvILL5h87TXvDMei03BbMqtD6Tr3P wxe4pZYn9QZIR3i2WNjjwpaoCHbYuEc5+PhlGIZJZunn6Ri1SRrWJRlq9KlZwllTBGL1FCM7a2OL FAuI2zeR6pY7SyscXCxRMay8zNzs/AwdLT1NXT39C53srK3M3XHcy2xyHFdLHmL+3WIrBlyC2v3K uOraOjpWKe8GqiUaWUqPlah59i7p+3cPXxxBAvX1w4QooUKCBSlWrNfozp18/wMNdiTyJ5MhhA0v ejSJ8VVEiXMsmtr3EcjBRSRLTlzoUFVAgArbxdvJ8YY7BPBSrkO2wtupo8syzLiVFJwIcucOVSUz FMbQq9a6ev0KNqzYsWShYmv2K6tWpVjZEjlrtCXWl7eUqMXDy65MujCNqOVZpS/fhDhqCh6cwvDD iggVJ2Zo8+YkxoQ2RpasE+VeexEtHwb6GPJilX8844w5OSdIyBsdnwT9GvHmgCtdZ5Z9G/PsiSR9 1lUdO/BpoGWO4Hn6G7cKKFyJ+g3xHKnbtnC7pR2WVlL2sty7e/8OPrz48eTLVbc+nXrzt+fftR/1 F5ben7pHy+XJBXjw+6Fh22sXvhpt/LgE4Gf1iURXYwPuVyCBDaYmYGUhXdbGcJoFSJqEojn4YG7K eabRhAYeuBuJLNnBkG3/mYihfyW2UduCHnbIIDCG9abfjPH5ZmGFCAJ43HotIreUcnEJEyQ66Zm3 JJNCErPkdgcUAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
scanning
lone column at a time has a voltage applied. If a sw= itch on the column is pressed the corresponding row has the voltage
mpu
column select lines
row select
lines
switches
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image139.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhLAB8AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAr AHoAgQAAAAAAAJfNzAECAwLijI+pyywPo5y0SmOz1nj7L3TgWInkCZnoqa5j635wvM10Zt9loL98 L/sBa8IhrmjcJTnI5aXpTEGjoWk0t8QmtUbu0AsE98Q68s1MQ8fULvbKjYKzrE45ye6jUvAgflA/ 4echSAT4ZBhByIQoxfigeORYJQlpUankeLlHl8W55dkF+iUaRjpmWoZ6pprGuubaBvsmG0c7R2l7 l5uXudvn+9eLOyxczKgZCDyoXGiMiHzobAidyLwoDUjdiK2n/WgdyU3lPSl+BW6JjnmsvknM/v7c nhw/PR8Nb153X93g/w+wAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image140.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQCD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image141.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACC AAEAgAAAAAAAAAIKjI+py+0Po5yuAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image142.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACD AAEAgAAAAP8AAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image143.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image144.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBWAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AFQAgAAAAP8AAAIIjI+py+0PVQEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image145.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBbAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AFkAgAAAAAAAAAIIjI+py+0PXwEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image146.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgB0AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AHIAgAAAAAAAAAIJjI+py+0Po2wFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image147.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBrAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AGkAgAAAAAAAAAIIjI+py+0PoywAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image148.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBnAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AGUAgAAAAAAAAAIIjI+py+0PoysAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image149.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHwACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAd AAEAgAAAAAAAAAIFjI+pGwUAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image150.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhKAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAm AAEAgAAAAAAAAAIFjI+py1YAO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image151.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AAkAgAAAAAAAAAIDjH8FADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image152.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCwALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAJ AAkAgQAAAAAAAP8AAAECAwIMjG+gy+0Po4yiWlsAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image153.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAE AAoAgAAAAAAAAAIIjAOpy+17QgEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image154.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAK AAEAgAAAAAAAAAIDjI8FADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image155.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAE AAEAgAAAAAAAAAICjFMAO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image156.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhvwAqAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAC9 ACkAgQAAAAAAAP8AAAECAwLshI+py+0PhYC02ouz3rxL2YXiSJbKh6bqyqZmErzyXE4ySh9xzveV /QL5dr6iEQE8mojKZeAJjUqn1Kr1is1qt9yu9+ttJpJiEbOMJpHTmzP7rVnDL+65PXLX1PP8cZ/+ F3giSLFHeCd3iGCoCJfYCMDYCEZZaXmJmfkFCfA4ydnnqSgJKiZ6SFqqdEqYqmrEKuj66hMbOEvL Y/uHi6r5Cxws/JQbV9xbfHOczLbbh8xcsxxd5swHTS1inYed7THtfbR91/08fI4eFi6+3j4z7h6/ AC9fb0BvL4+f777Pv+7vn4wqLQoaZCHwTwEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image157.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhSgAkAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABI ACIAgQAAAAAAAP8AAAECAwJjhI+pyxkNo5zUvIqzRnf733TgFJTmiaZqOrbuC8fyTNf2jeeQIOg0 z/MtVsQT8IhMKpFE3LEmsgVpUSGsanVhs9yu9wsOi8fksrnSPGe26lAbw34r4nJOnXSX0PPFvv8P eFIAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0004_image158.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhdABBAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABz AD8AgQAAAAAAAJfNzP8AAAL/hBEhkucPX1Iz2jhXuzfpjXlMAInLx3HKeZKptbLje5isK8lorbd0 3mP8HDEd7lWUHRFBoSGpHD6bGimzuYRRl9DbFGvteodiH5LqvILL5h87TXvDMei03BbMqtD6Tr3P wxe4pZYn9QZIR3i2WNjjwpaoCHbYuEc5+PhlGIZJZunn6Ri1SRrWJRlq9KlZwllTBGL1FCM7a2OL FAuI2zeR6pY7SyscXCxRMay8zNzs/AwdLT1NXT39C53srK3M3XHcy2xyHFdLHmL+3WIrBlyC2v3K uOraOjpWKe8GqiUaWUqPlah59i7p+3cPXxxBAvX1w4QooUKCBSlWrNfozp18/wMNdiTyJ5MhhA0v ejSJ8VVEiXMsmtr3EcjBRSRLTlzoUFVAgArbxdvJ8YY7BPBSrkO2wtupo8syzLiVFJwIcucOVSUz FMbQq9a6ev0KNqzYsWShYmv2K6tWpVjZEjlbYoDcuWrfvrylRC0eXnklzP07oG5CrjLvnmwD0l4A wIDrlnHMb1JFhIsZ0+0U+fBNyUD/VbZ82aZhzZuNBoz4GXTgoCgfxuR5+k9q0FlXwswsUnHI2Y0x vzY9Gji+lbz/6sWtE6jrzhlpD3uMN+fyidBhMSZcGLFVI1uhCMPz9C3cbmkFi3ebonz6tGXbu38P P778+fTrlxtPHn1b/d/wv4PzP4p5RPX1U2nJtZQbF9Kl99t0Vdw2mk+waYdTawcamNhMlNRU4YIO UshZbIRsJBqGwoF44oMsCUEihBamqOKHw8kWUokoyhjjhTPShFyIwemYI452MMShSzcCiWCKCkLk IZCCSdjhZlAOSGB0QpGnHINV2hUhe+J4+SWAuogJy3gFAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
ports
lThis requires some of the lines on the MPU to be configured as input or output lines. These collections of w= ires are termed ‘ports’.
mpu
column select lines
row select
lines
switches
in
port
out
port
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image159.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhLAB8AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAr AHoAgQAAAAAAAJfNzAECAwLijI+pyywPo5y0SmOz1nj7L3TgWInkCZnoqa5j635wvM10Zt9loL98 L/sBa8IhrmjcJTnI5aXpTEGjoWk0t8QmtUbu0AsE98Q68s1MQ8fULvbKjYKzrE45ye6jUvAgflA/ 4echSAT4ZBhByIQoxfigeORYJQlpUankeLlHl8W55dkF+iUaRjpmWoZ6pprGuubaBvsmG0c7R2l7 l5uXudvn+9eLOyxczKgZCDyoXGiMiHzobAidyLwoDUjdiK2n/WgdyU3lPSl+BW6JjnmsvknM/v7c nhw/PR8Nb153X93g/w+wAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image160.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQCD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image161.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACC AAEAgAAAAAAAAAIKjI+py+0Po5yuAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image162.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACD AAEAgAAAAP8AAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image163.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhhAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACD AAEAgAAAAAAAAAIKjI+py+0Po5yvAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image164.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBWAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AFQAgAAAAP8AAAIIjI+py+0PVQEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image165.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBbAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AFkAgAAAAAAAAAIIjI+py+0PXwEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image166.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgB0AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AHIAgAAAAAAAAAIJjI+py+0Po2wFADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image167.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBrAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AGkAgAAAAAAAAAIIjI+py+0PoywAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image168.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBnAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AGUAgAAAAAAAAAIIjI+py+0PoysAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image169.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHwACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAAd AAEAgAAAAAAAAAIFjI+pGwUAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image170.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhKAACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAm AAEAgAAAAAAAAAIFjI+py1YAO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image171.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AAkAgAAAAAAAAAIDjH8FADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image172.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCwALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAJ AAkAgQAAAAAAAP8AAAECAwIMjG+gy+0Po4yiWlsAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image173.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAE AAoAgAAAAAAAAAIIjAOpy+17QgEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image174.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhCgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAK AAEAgAAAAAAAAAIDjI8FADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image175.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhBgACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAE AAEAgAAAAAAAAAICjFMAO1== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image176.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhvwAqAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAC9 ACkAgQAAAAAAAP8AAAECAwLshI+py+0PhYC02ouz3rxL2YXiSJbKh6bqyqZmErzyXE4ySh9xzveV /QL5dr6iEQE8mojKZeAJjUqn1Kr1is1qt9yu9+ttJpJiEbOMJpHTmzP7rVnDL+65PXLX1PP8cZ/+ F3giSLFHeCd3iGCoCJfYCMDYCEZZaXmJmfkFCfA4ydnnqSgJKiZ6SFqqdEqYqmrEKuj66hMbOEvL Y/uHi6r5Cxws/JQbV9xbfHOczLbbh8xcsxxd5swHTS1inYed7THtfbR91/08fI4eFi6+3j4z7h6/ AC9fb0BvL4+f777Pv+7vn4wqLQoaZCHwTwEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image177.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhSgAkAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAABI ACIAgQAAAAAAAP8AAAECAwJjhI+pyxkNo5zUvIqzRnf733TgFJTmiaZqOrbuC8fyTNf2jeeQIOg0 z/MtVsQT8IhMKpFE3LEmsgVpUSGsanVhs9yu9wsOi8fksrnSPGe26lAbw34r4nJOnXSX0PPFvv8P eFIAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0006_image178.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhyAB4AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADH AHUAgQAAAAAAAJfNzP8AAAL/hI+py+0PXQhCzCinvXhNunWItgXNV3HiyrYsWaVmC8apW9sqBOue Hdu5hsRhDjgTHX2rZbDjvI2AzKL1GolKoVRks/vkgbcGrQWLTifMSYz5zB3DH+9kXY3HvudZOV/s 1/YTeLAnmIeo5PdHRxgnd7i2aDeZaPni6JbZB8k5Rtl5Kar5+RX62BWpwCZZOvoqcYrqZQqm2mqL mwrLyxB1m7FLk0tKFfnbm7yaI9RU06zEDOwhfVJdppwN8DENBU3zYRTOM16ofY6ezqPO3p7e7R4v jwY/j1NehE+k//K91lzPXjQdAakFKbjsIEIUMqwpNCeQHjFnwjBVBGRsUMZt/xH1yCq2UZGrRiPL dELY0ddHjBNBhoxVctvJlFbuzHrJ8uKJTHdQ0pyyKSdOkit1TTTk86fJoERbCh1qtCJSpUaKwozZ VKfKUz2p4rC6E+xWp2N1skpKlVUtqFmrPCUjkxjatGRdwr0Zwo2TY6lmzE1Lgt/AhuJA+AtWwprh Nn+9bmtc6LCzfAEBOr7sFTLmzaI0c/6cx3NKbpKzcKt5+p7gEakhguYxILZstD0YiTxI0a1RFaIF BpANfIDPX8PrltW9dGPveb+DA0epBbrYQh/Pvqbj/PlarW2RazQ787qv7MEL2rRLq3uVruI9kNeO 13vY6YaoB1oer/l74beNH//nDhRXk+CX334LMXWVfwEeRQiB7uiX3YFYvXXXdzhZ1954EQ6j4H9h eHKhKw4+CGFspXmYVG15mWbMMUtwMGJ+pFE24z41OtOaLzdylGGPycToY5AjCEnkJUAWiWSRpDW2 o2qr0dHkMjkmmdyH/SBBG1vzWRnVkQ926GGFb1VWFzJUqtVfevGJGZVuaCo53SoIJqjlglLNmWF9 a7JpYZ32jXQekXqiJ1+YhVbJIJ7iDQoigIby+WeiE/r4ZqN+yjlpm+sp2l6lFNqmHqSIqhkXmIua iqmjdHK5aoVmnvliii3ec2mXLtba45I0PunNlHr5+g+wVA5LbLHGHotsssqKLstss84+C2200k5L bbXWXottttpuy2233n4Lbrjijktuueaei66QXqZrJLugresuIvDGi8e89KZh77166HtZvvzm829m Aac1sFL+FvwCwjQdrDAUDXfE8MPrSGxPxBSfcDFzGctj8cZrePwgyO10LDKPJaNDssgpg7yyxy1v /HLGMV88M8U1S1wAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0017.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Port scanning
lTypically each p= ort is several bits wide, we use a shifting bit to scan
loutport:=3D1        = ;            &n= bsp;            = ;      --- set col 1 on
lrepeat
l if inport>0 then processs switch
l   outport:=3Doutport+outport;=         = ;     --- shift column bit up
luntil outport>32
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0002.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
The problem
lMechanical switches p= lay an important and extens= ive role in practically every computer, microprocessor and microcontroller applic= ation. Mechanical switches are inexpensive, simple and reliable.
lIn addition, switches= can be very noisy. The appare= nt noise is caused by the closing and opening action that seldom results in a clean electrical transition. The connection makes and breaks several, perhaps even hundreds, of <= /span>= times before the final switch state settles. <= /span>
l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0005.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Need to de-bounce switches
lThe consequences of uncorrected switch bounce can range from being just annoying to catastrophic. For exampl= e, imagine advancing the TV channel, but instead of getting the ne= xt channel, the selection skips one or two. This is a situation a designer should strive to avoid.
l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0007.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
use of a shift register
Whenever the switch is open, the high input on D propagates to Qn after n= clock cycles. If it closes even momentarily, the output goes low and stays low until there has been a period o= f n cycles without a switch closure
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0007_image179.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAbgAAADrCAIAAACUxRQJAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO wwAADsMBx2+oZAAAIdpJREFUeF7tnWvMFdW5x+WctAkXSbhFsMgbSkSNbUB5sYKNoDUQhVbFS8Eo IpoKVkxU0HD50Iu8JJVIBJWLBNDYgtEao5BSRAEVMApFwBQ1rQgaoBEw4Vr7xfM7fQ7rTPd+3733 7LnsNTP/+bAze/a6POu3Zv57XZ9p9+23356lQwREQAREoG0C/yU4IiACIiAClQlIKHWHiIAIiEAV AhJK3SIiIAIiIKHUPSACIiAC0QioRRmNn2KLgAgUgICEsgCVrCKKgAhEIyChjMZPsUVABApAQEJZ gEpWEUVABKIRkFBG45dK7MmTJ2/atCmY1fbt27mYSubKRARE4CwJpac3QVAZ+/Xrt2DBgqChS5cu 7dq1q6emyywRyB2BdtrC6GGd7t+/v6mpad++fX369ME8RHP48OHuq/26bdu2QYMGeWi8TBKB/BFQ izIDdTps2LAhQ4Zs2bLFbF27di1fpZIZqDmZmBcCEkq/anLNmjVDhw4dO3YsZvHJufXBH3jggfnz 55utK1as4KtfdssaEcg1AXW9/apeutW7d+8+fvz4uHHjVq5cefbZZ19++eXdunU7cuRI9+7d9+zZ c/Lkyebm5sOHD3PRL9NljQjkl4CE0se6LRmjNBOZ5r7kkksYqTx69OjChQt9tFs2iUBOCajr7WPF duzYcdKkSXwGjaMnTqe7paXFOuY6REAEUiOgFmVqqKNmdOrUKZNOLVSIilLxRSAkAQllSGANDc5I JflrdLKhlaDMi0hAQlnEWleZRUAEQhHQGGUoXAosAiJQRAISyiLWusosAiIQioC63qFwJRu4Xbt2 9WWg6Z36uCmWCNRIQEJZI6hGBkNAJYWNrADlXXgC6noX/hYQABEQgWoEJJTVCOl3ERCBwhOQUBb+ FhAAERCBagQklNUI6XcREIHCE5BQFv4WEAAREIFqBCSU1QjpdxEQgcITkFAW/hYQABEQgWoEJJTV COl3ERCBwhOQUBb+FhAAERCBagQklNUI6XcREIHCE5BQFv4WEAAREIFqBCSU1QjpdxEQgcITkFAW /hYQABEQgWoEJJTVCOl3ERCBwhOQUBb+FhAAERCBagQklNUI6XcREIHCE5BQFv4WEAAREIFqBCSU 1QjpdxEQgcITkFAW/hYQABEQgWoEJJTVCOl3ERCBwhOQUGbjFti8eXM2DJWVIpBHAnq9XwZqVW9h zEAlycRcE1CLMtfVq8KJgAjEQUBCGQdFpSECIpBrAhLKbFTvjTfeuG7duqNHj2bDXFkpAvkioDHK bNTnhx9++O677z7//PPDhw+//vrrL7300vbt22fDdFkpAtknIKHMUh2ePn36xRdffOWVV15//XV0 84orrsiS9bJVBDJLQEKZgaqjx71t27b169dv3Lhx/PjxP/7xjwcOHJgBu2WiCOSFgITS35o0fXzp pZd27twpffS3nmRZAQhIKL2r5AMHDmzdunXt2rXSR+/qRgYVlYCE0q+aZxRy+fLlc+fObW5uvuee e/js2rWrXybKGhEoHgEJpad17qa5BwwYMGHChNqnuY8cOTJr1ixXKnR26NCho0aNclc2bdp08ODB sWPHelpymSUC/hHQOkr/6uTfFjFdc//997///vu//OUvd+zYMWzYsJaWFnZ80+SsbPHJkycXLVqE PhKFo0uXLqNHj16yZInF2r59OwuMvvzyS0+LLbNEwEsCEkovqyVglFPM6667jsZghw4dalHMESNG 0GbkmDp16uLFi1esWEGSyOWUKVN8L7DsEwH/CEgo/auTNixCMdHK2bNnz5w5E8X84osvajGdnjgN UvrvBP7e977HAsxJkybVElFhREAEHAGNUWbgZgg1Xrl///6mpqZgqYYMGbJq1ao+ffrYxcmTJ/fr 14+WZgZKLhNFwA8CalH6UQ+tWcFIInsWb7jhhqeffrp///4sGHr22WfZjVPL5sWVK1eyOv3xxx8n 4WXLljmV9Le0skwEPCYgofSucpw+MpnTqVMnZA59ZMwx1DqhXr16MZNDs3HGjBkTJ06kA+5dOWWQ CGSHgITSr7piUvutt95iNzfLzseMGXPZZZeF0sfywjCgycUnnnjCr3LKGhHIFAEJpV/VRbea3Yqv vvoqWollNCrpetMBr3tBD7PkaCUT5SwM8quoskYEskNAkzm+1xUSSRvznXfe+eqrr2hjXn311b17 965sNPM5JYOSXOnevTuiSUS64cixnesQARGohYCEshZKXoQp8ZHBqCUzPF5YJiNEIO8E1PXOTA2f OnXq0KFDtCtZFIlE0kLMjOkyVAQyTkAtSt8rkIbk6tWrbciSrjf7ESNO7/heYNknAv4RkFD6Vyf/ tsh1tGsfmvS0JDJLBLJPQELpVx2yPIh5G+esVwORflWPrCkqgf/+1a9+VdSy+1ju73znO8ePH//X v/5FQ/Kzzz47++yzv/322549e/poq2wSgcIQUIvS36pmYdBf//pXXpXDTkT2L44cOdJfW2WZCOSa gIQyA9Xbrl07prxr2eKdgcLIRBHIIAEJZQYqDaGkA54BQ2WiCOSUgNZR5rRiVSwREIH4CEgo42OZ ZEq4pEwyeaUtAiJQiYD6dBm4PzRGmYFKkom5JiChzED1aowyA5UkE3NNQF3vXFevCicCIhAHAQll HBSVhgiIQK4JSChzXb0qnAiIQBwEJJRxUFQaIiACuSYgocx19apwIiACcRCQUMZBUWmIgAjkmoCE MtfVq8KJgAjEQUDrKOOgmHAaOV5HSdEShleI5OUKIOlqllAmTTiG9PMtlHrII94iOb49IpKJMbq6 3jHCVFIiIAL5JCChzGe9qlQiIAIxEpBQxghTSYmACOSTgMYoM1CvOR6EynHRUrux0md45MiRWbNm BQvIK5TvvffeOXPmLFy4cPv27UuXLuWEAJxfdNFFHTp0iEIjmGCUdKLEVYsyCj3FFYEiEjh58uSi RYsoeb8zR1NTkwNx6NAh+xU9bW5uPnz4cERGLsGI6USJrhZlFHopxU2/yZBSwc46K8dFyzHD/fv3 o4wbN24cNmyYKyavdfrggw+4smbNmtGjR7OYYdWqVePGjVu5cuXPfvYzgvHrwYMHBw4ceOGFF/LV wp9zzjl///vfr7rqqlYDbNiwwdK3BFNDWp6RWpQNhK+s2yRAY2TymWPmzJlLlizhShF40c2kvEOH DqX0KE6GiozqDR8+PGjwyy+/zFc+aVQ+/PDD06dP3717Nz1xBNRkkfB8RQTLAyCj48ePX758+ZYt WwjQeA7otA7PCXCXeG5h3ea1VbR9+/bx06RJk3hVL8dNN93EVy7WnVEmIq5evZpizpgxgxNKbedV LQ91eyBA/OVUTbNyAKud4LFnzx4amGaJlYITC8YnjcohQ4ZYmoTkIspo4fnKxfIAFN9FWbx4cagy Rixdq9Fz+wQmAatRaXKXfPHFF2nm/sknn0R/nGoxuLJQ8iy5RBBNjlrSzGgYG8tDZZz9JjQGgWFB Tkx0glisQ1p7kalZwo8YMWLBggU7duxAN2uPW2KYWWKHmdeWUCL3qJ47CLZt2zYXnmTLAxAGrbQc CRyqjHWUqGoUdb0b36ivYAFdle9///sEYBDn2muvPX36dNLmvvXWWww/3XLLLRdffPGECRNSyLHG Eo0dO5YpAh7sGsNnLtgbb7yBzaNGjXKW9+nTh/+GdevWccU6qkDYtGkTJ3Pnzo1SQNKcMmXKJZdc wnz0Qw89xNcvv/wybIK9evViRNKOqvPaAwYMYE7cDlqI9LhLsisJgHR+/fXXYU1KLryEMjm2UVM+ evTojTfeuHfvXhL629/+tnbt2jFjxkRNtGL8Tz/99Pbbb2eofteuXUw1Pvfcc7/5zW8SzTFs4tGn UMPmmFp4pMpGGIIHs8rWBrRj2bJlLLuh1Tlt2rS4DJs3b97IkSPPO+88Pp966ine9xnvvyP/bQy5 7ty5s2/fvkjqiRMnWEhUUo/lAbjS0tJiA9OvvPJKXIWtOx0JZd3oEo9Ij4MJwWA2DOjU8c9fu6G0 VpiXDIZ/4YUXao+eaMhOnTqRfseOHRPNpYGJd+7c+cCBAyUG0Krq0aOHu2jzxeVHLL5FYmlmBm3r 3r073W0ajz179uQ/np4K8sfMDEJPYzkYknZ0SQCu0KgkBYrm5r4bWDshRjeqduMVIF4Cf/zjHxt5 Z6SVd6vQgsNzFiA4uh8vZ09Ss5E4m7CyuQ4G/hAaOqpcCY7oudkSszyhiho8ePDs2bNpz7ZVQeXX MduGU+3Ezq0gnFM0d26/umD2tTwAV2y2pyRk+lUmoUyfea05co8yDBR8DPgfrjVyXeHefPPNYPuF rC+77LK6Uqo1Elm09RzyE9MFPCocJg3BiY5aM8hUOEYk6X0jDTY1bLMfpjKVhTJUKSsL6x133MGQ S1v6GCqjPAWWUHpdm7/97W+dVtJ/ee+995I2N5gjupz0bHtloQw+0ohm0mVvePpoIv1NV2pU0v09 JCqUP/zhD2k8vvvuu+ksdWg45zoM0M6chDousSXLBAtTnAz03HnnneyojS3dthMixwsuuOC11167 5ppr2rdvn2iOFXbmMKdkWTMu2a1bt0TN8CpxCs4MHltWGJFk/TkjdFOnTsVCVMw4MD3CfItjEmp3 ExHdDDWNR6r48ssv79+/v1cEPDRGQulhpZSaxKzfD37wgzTv5lDPXhSCqWUUxUjP44ZiyGTgq6++ ysIg/nqj/O+i2myboYsDHFZlslii8goh1N+5zGjLTYYL4yFwzXp7WCkySQSSItC7d+/777//iiuu iKKSGMcSH1Tyrrvuuvnmm5kuZ4diLRZXdpNBO9q8aXh4SCg9rBSZJAJeE/j4449ZkvHYY4+xiIc1 8GziRuBoD7I53XYEIIgsNbMyMHrAwUIfQtqierZvWzA+CcbWbxJ0BSYdrrjonoCQUHpSETJDBDJD wFZBPvnkk8gZYjdo0CCmR7jIjBBD2/yEjLJ9yEaZ6XGzecF2FgXdZJjbC+cpwyljXLuP4qUpoYyX p1ITgfwTYDiSBUxfffUV2sdUG46OrEnInlfTO9t2yQZctBLRZFbdoDzxxBP2iaoyScUC+/Xr1yOv LPyyKBxu95EPG3JcXUoo839bq4QiEDsBZuTNf5otcWV+Bk2kaUkfnH434sg6J7rYaCUrQ0v24Zgx SC0eDGwKiC48cmnX3e6jrVu3xm523QlKKOtGl2rEjz76KNX8lJkItE2AsUjmcPidJUponL31AU1E KDnhLRGII1sS2ayNjFrIVg+8Gdh1G6z0GbmE0ufakW0i4CMB9j7QZmTKBYFzGsdFbKUhSaOSBUPm H4hz9neXlMFmctgiac1PznEpwGClj0U9Y5OE0ufakW0i4CMBWo40FXnNAwOUHIxUsm/KmpNIJJ+c 06dmRyabi4L9bucmg3lwPAmxf9/cXrDYiKFJH4t6xiYtOPe5dv7PNhvVTtrHWhBEqDXMUQimllEU Iz2P2yiGNAwZl/zHP/7Bbojg1ikumjiW7CCynUX2qhyakzY6SWCuEN6+trX7qOFVIKFseBVUN0BC WZ1RgUM0SigLhVxd70JVtworAiJQDwEJZT3UFEcERKBQBCSUhapuFVYERKAeAhLKeqgpjgiIQKhX kBM47Ivh6oiSXKVIKJNjq5RFILcEWHPe3NxM8WbOnInTNvbVcNJWaSs7DWo1Vh1REmUtoUwUrxIX gRwSQMXsHWHoIztzfvGLX7D4nH04qGfQb5A7d06DWAzEDhw2hgc9BlWOErYdmhBuCWVCYJWsCOSW gPnrLXkFOXtykE5+Yv25ldydO6dBbHPk14kTJ3LC1p0lS5YEg7UaxZMXFEsoc3s3q2AikBCB48eP 2/t8ggcugnh5d6s5OqdB9ivNSZqivHWSDTm2hbH8CPoZSqgUoZKVUIbClXZgvAbwTvpHH32Ud5ay 7DzeN9OnXZg28kv0TeWelDF/ZpS79jl27Ni5555btaRuU6NtBs+KtxcJZdWabVgAZBGJ7Ny5865d u9hLy8jOvHnzGmZNYhmzNVNamRjdRBK2N4MG3ZLzFYeSvKcsbH68Qy1slIaEl1A2BHtNmf7lL3/p 0qULXqB5FSJvOHnwwQd5LZTzTFVTElkIhPq3pZXBBSjODRcTAniKLemylVzkVyZh6eJlAUD2bMSf BQ4vcKdmlWK08Sd06623durUya4wCYM/ymDZbFqGpqgprPlCZ5d31SheAKrjFbeKkg4B7jyOYF7T pk1L58303JrplNEy4o3SeEkoeYe4eYTFwQwnfHJur/a2N1zv27cvaGHwIsP/+EPkSeY12emUorG5 pFZZwWKWv4IcR7wE4DrkTdqoBbONi+4d5UHVqyUKQ5mNxWu5p/Q8+FDUzNmwY8cOlNGZzR8yasJn CgVJ7dlzGZVopc11IpGusKgkV3jkKgtl0VTyf5/htP7Vym88aFMdpnfBgyv2T0YAu24VZ39+nBOg 5G+srSie/NtJKFOQnfqzuOeee5gfZIwS0eT8ueeeqz+tMDFTe/aCGQW10p6oEpPtmakglDxstGJY pxKmrJkPm1plRSfVarVGTzaFFDRG6cUASFtGzJ8/n1eIPPPMM3/4wx94cxPjlcGQzIFs3rzZtwLg 9as+k3jZNOOV1113HYVqdQGKuSyscDCRymBF/oZx6+PpYSyWEFnPIHOHhNLrKmMah4kO3knyu9/9 Dh0J2sqc+K9//esePXp4WAC0ssajxPimpqZ//vOfrEbmevkClKqbNGhL0uPjBQO2klmHbwSYuuFt tL5ZVYs9EspaKHkXBpW87777li5det5553lnXJghs5IGMv8Ky5cvZzbg/PPP5yd7MbQdzIDz1oHg lfKCs4CZR5H+HSeE95CMTMooAQll9irOVHLFihV0ZGhyZq8ArVnMMAIqSdfbGs68dIXRxjlz5thS Ej45D774lJdKI5p2lLQ02VpH03LKlClt7frIBzGVIk0CEsqYafNwzp07l1d0ctABtGeYVWb4C7Cc CMAywJKvlRtKJSbSDUcluXjNNdfEbH2DkitRSbOCzUioIcOO9OJtFwdXnIG4rqGfbseGDRtKDDdP NrYNTocIRCegd+ZEZ/gfKdhbjO+66y6mI/AFwBgiI4z23Nor3pFIPK/QODJPAfaV+dyqMxWWDTsa aSvZORMXCb1xLMprWELFJTDLJ4NtyZL64C9k7969ffv2Db7Mr+R/hTf5Qc+908pS4A8JqsFY5TVN 95yNoejsgAEDGDtjHTWJ0HR97LHHgm/LIikWV7voLP7nFaxBlxAx30MhkwsFPGTaCn6GQAoz68XJ guUpcHXLylgry1emF4KrIhiAsxW5ttiFpdR8rRHRggULgncu62lqjBg2GLmEjeLCh4pLYBaHJleQ CqVodUF7q2uPqEHspDvPjC2HrX6neVs3ongjhgIeb9bFSa3+56E4jGovKdrHXYvw8bwFF8qagPK8 WQD7ShhSZseCbTipevz5z38u+X8v2cpSNYXaA0R59kLFJXBDVLKtBe2mniXbfkworb7sQCWpuNp5 JhoyFPBELclx4hqjjLNzQQcQEWRkDad7TNEyFmlzEayF5JMupEkkX2me8HZj+nQsghk4cGBVI1ha OHLkyJJgvXv3rhrR/wAly57SMbjcoyJdb/7GbN9x5YNaY/0/vfVqAfV7fghIKGOuS0SQwUcaLNY2 YRbCBtRMGRkU44SvDHIxOmY+pkxGKx/I7k9+8pNgGDdSWS2qfm+FQB0L2vnzs8WhDInieHH69Oki WxwCEso465qZGZvMYSqAwX6mcTi35dMoI60YZqs54SsOqWhL4t7GdLPqQatz/fr1dNItOgfzG1Vj KUAFAmEXtAOf3rcNUC5btqzyNJHI54yAhDLOCu3Zsycz0cgfq4I4zAkVF/lkzSM/8XCazz6UlIlv 9pA44avFDhyvsTaIQb2rr7764osvriWKwrRKoK0F7SdOnGiLGE4YmRafOnUq/228zECLNIt1a+V4 /LUhRbMetzuCEzUM/wdnAGxVYMm8QQWbcbB2/fXXuwCJuhHCsLrphYobKnDdJrUa0Vyx2RIF86bB YbPeLFegXuxg4LJkMsechvnjeqOBDOOtEZ9Tq/958LlUjbXNvEjxyDkfU2YPX4NXCFYSoLLZuFxL bYI4yrMXKm6owPFWqzlkc39pnJvTsJKGEv985bPe9nfoi6vECP9q8SLNcWpacJ6NDsSnn376yCOP 4OE8HXOjrGEOFTdU4CTKXr6gvZbV7FhCMFvonoRVodJsOMNQ1mY0sIQyGxWHStLvTm0lTZRnL1Tc UIGzUVWpWymGKSDXZE4KkKNmQXOSIzWVjGqu4otA7ghIKDNQpbhTY4AyA4bmyET8dPDnpNdD5qhK IxVFXe9I+FKInPLopJUoSm8uVNy63aEnSh63TN/97nd//vOfv/jiiywYevvttxPNLnrizKJET0Qp VCCgFqW/twfNmZaWlgsuuOCbb75hG4+/hkawzMN5Upa7/uhHP2LvAC/e4POWW27BF4mHdgZNilAD iloTAQllTZjSD4R33oceegivizwPv//97//0pz89//zz6ZtRwBzZQBV8N9Ftt93GnqgCclCRgwQk lJ7eDyjjlVdeOWLECOzDASJvGbvzzjs9tTXvZvn5YqK8U/erfBJKv+ojaM25557rvvLKB15X66+t ObKMPabMnrkC8f5LOQrKUfXWWRQJZZ3gko6Gvy9zBWYH45V4rEk6U6UPAXMaf8MNN+DThE8Wlt99 990iU3ACmvX29AZgjPKBBx7ARdBPf/rTY8eOPfjgg+7FWylYHGrmusSeKHFTKFqNWfDPxBsucY2c D6efNZZawdoiIKH0995AKxmpfPrpp/FEife2/v37p2ZrFLGLEje1AtaSUW4KUkthFaYyAQml73dI Qx7XKJlGietVZeSmIF5RzagxGqPMaMXJbBEQgfQISCjTY62cREAEMkpAQpnRipPZIiAC6RGQUKbH WjmJgAhklICEMqMVJ7NFQATSIyChTI+1chIBEcgoAQllRitOZouACKRHQEKZHmvlJAIikFECEsqM VpzMFgERSI+AhDI91spJBEQgowQklBmtOJktAiKQHgEJZXqslZMIiEBGCUgoM1pxMlsERCA9AhLK 9FgrJxEQgYwSkFBmtOJktgiIQHoEJJTpsVZOIiACGSUgocxoxclsERCB9AjIw3l6rOvLqSF+tsm0 PmtzFouXquesRCpOfQQklPVxSy9Wo4Sybo1oiMFJ1EduCpIEnKKlqa530Wq8pvLWrZI1pZ6RQIKQ kYpKw0wJZRqUlYcIiECmCajr7UX1RRwT9Krtox6rF7eUjIiVgIQyVpxK7KyzJJS6C/JHQF3v/NWp SiQCIhAzAbUoYwYaMblQfXCvetyu4GpRRrwHFN1DAhJKDysl2yZJKLNdf7K+NQLqeuu+EAEREIEq BNSi9PEWqdwB97PHra63j3eSbIqJgIQyJpBK5gwBdb11L+SPgLreXtcpouMOrw2VcSKQawISylxX rwonAiIQBwF1veOgqDQCBNT11u2QPwJqUeavTlUiERCBmAlIKGMGquREQATyR0BCmb86VYlEQARi JiChjBmokhMBEcgfAQll/upUJRIBEYiZgIQyZqBKTgREIH8EJJT5q1OVSAREIGYCEsqYgSo5ERCB /BGQUOavTlUiERCBmAlIKGMGquREQATyR0BCmb86VYlEQARiJiChjBmokhMBEcgfAQll/upUJRIB EYiZgIQyZqBKTgREIH8EJJT5q1OVSAREIGYC8kcZM9C2kjty5MisWbPs165duzY1Nd10003dunVr Nfz27duXLl26cOHClIyLNRv5o4wVpxLzgoBalClVw8mTJxctWkRm/fr169Kly7p167p3775///5W sz906JAF1iECIuADAbUoU6oFNJFW5MaNG4cNG2ZZTp48mU+ajadOnfrggw8OHjw4cODACy+8kItr 1qwZPXr0nj17PvzwQ3eR6yUhaaV+9NFHlqA7tzB9+/bdsmVLr169XHbluZRfiYWFWpSxYFQiXhFQ i7Jh1TF27FiajajVww8/PH369N27d1900UWrVq1yBk2cOJGLfC5ZssRUcvz48QsWLLCQiOl77703 fPhwC+/OUUkukvimTZs4mTt3rovrcuGnCvk2jIgyFgFvCfCSaB0pENi3bx/3AC1KlxfnXJkxY8aQ IUPsIk1Irhw+fHj16tWcEIWL27Zts4srV650IS2uBbO47tx+IqngRX4lLt1/u0imwdRcvrFwcCbF kpoSEQEfCKhF2bC/sE6dOrm8h/77oPHIlc8//5xPdK1Pnz6c0Hjkky42DckxY8ZYlMGDB1e227rw 7kAKr7rqqg4dOnBl1KhRs2fPJjXOy/NtGA5lLAIeE5BQNqxyNmzYgBqS/YABA+acORYvXmzKuHXr 1qBl55xzDl+//vrrus09evSoxaXTTde7rXy5HnyZeB3nlkLddiqiCHhIQEKZaqUwY8OsDgcjjNOm TZs5cyZtup07dzL3wqzLiRMn7r33XnrZZtPHH3/M52uvvcYnrUtCtrS0MGnjLlowrqB9TN1UKAkt UMZDLe4LL7zAYGWFfGPp6aSKVZmJQNIEYnkqlEhVAjZGGTwYJbRYjz/+ONetdckAohtbdIFtwNGF tOuMXTLmOGnSJPvKqkw+CWNjlCUDlyVxLcHyfKuWQgFEoJgEtDwo6X+i/0/frZrs2LFjyVJzftq7 dy/tPhtGpIV4+vTp9u3bM4XtLlpChORXGpgWkoOGJ+dcocFoyboTS8flVR63JN/0WCgnEcgUAQll pqpLxoqACDSCgMYoG0FdeYqACGSKgIQyU9UlY0VABBpBQELZCOrKUwREIFMEJJSZqi4ZKwIi0AgC EspUqeM/zdZO4hHDVn1zxbxj1HiEDV9jsgomAiJQgYCEMr3bg0Xmzc3N+FhDK3G2hscKXGCE9agW Nnx6xVNOIpBfAloelFLdsrYRB5SsJ2ertWWJSo4bN44reFRjES9XWvV7VnLRPLBZeFqXfA4aNCil MigbESgqAbUoU6p53KCRk1NJzvGExtYal325FzWTTlyrBd2jufAo5pQpU3r06JFSAZSNCBSYgIQy pco/fvy4bVIMHm53DRfZ033gwIGXX34Z1z5sQ6TZSCMUxxlcXL9+PRdpe+IX3aKjklyhTWoehnSI gAgkSkBCmSje/0i8xCGQNRhdiHIvarhWK3ePZuGRUXwOSSXTqzzlVGwCEsqU6v/8888np+BLchhh ZNN3MPsSL2rmWq3cPRoX8YiBNyDalSlZr2xEoNgEJJQp1T9TLjj4we2kOU/jk3Nz+WNHuRc1Gozl 7tEsMKnZLJB5TtMhAiKQLIFiOk1qSKlxNBlURs7dWx9a9aJWfpGeePD1D/hY42hIWZSpCBSKgJYH Jfs/VJ66eTbDU6+NMFb1hGYddudaLRiec6RWI5VpV6HyKx4BCWXx6lwlFgERCElAY5QhgSm4CIhA 8QhIKItX5yqxCIhASAISypDAFFwERKB4BCSUxatzlVgERCAkAQllSGAKLgIiUDwCEsri1blKLAIi EJKAhDIkMAUXAREoHoH/AQWWaWRyXgSuAAAAAElFTkSuQmCC ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0007_image180.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdh4AHHAHcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAA4AHHAIcAAAAL CwsEBAQXFxcODg4bGxsTExMGBgYUFBQfHx8YGBgJCQkQEBAICAgZGRkdHR0eHh4WFhYDAwMBAQEa GhoHBwcMDAwKCgoVFRUSEhIcHBwRERENDQ0wMDAxMTEjIyMvLy88PDw5OTknJyc/Pz86OjooKCg+ Pj49PT0tLS0sLCw1NTU0NDQgICAmJiY3NzcrKys4ODglJSU2NjY7OzsuLi4pKSkqKioyMjIkJCQh ISEiIiIzMzNeXl5dXV1KSkpGRkZSUlJVVVVMTExLS0tCQkJDQ0NFRUVWVlZRUVFJSUlPT09YWFhU VFRTU1NZWVlAQEBNTU1ISEhcXFxfX19BQUFbW1taWlpQUFBOTk5ERERHR0dXV1dzc3N8fHxhYWFm ZmZqamplZWVnZ2doaGhsbGx0dHRycnJwcHB2dnZ7e3txcXF1dXVtbW14eHh9fX1+fn5/f39vb29p aWliYmJra2t3d3d6enp5eXlubm5jY2NgYGBkZGSMjIybm5uPj4+Kioqenp6RkZGdnZ2IiIiLi4uH h4eJiYmSkpKVlZWBgYGYmJiNjY2Dg4OAgICFhYWZmZmWlpacnJyOjo6QkJCfn5+UlJSXl5eEhISa mpqGhoaCgoKTk5OxsbG0tLS/v7+np6e3t7empqahoaGlpaWurq68vLyrq6ujo6OkpKS2traysrKz s7OwsLC9vb2srKyioqK+vr6pqam5ubm1tbW6urq7u7uqqqqoqKi4uLivr6+tra2goKDX19fZ2dnc 3NzJycnFxcXT09POzs7d3d3AwMDExMTR0dHBwcHGxsbMzMzS0tLV1dXDw8PU1NTQ0NDa2trY2NjN zc3e3t7CwsLf39/W1tbb29vKysrPz8/IyMjLy8vHx8f+/v7q6urv7+/r6+v5+fn09PTp6enk5OT1 9fX9/f3t7e36+vr7+/vu7u739/fy8vLi4uLh4eH8/Pz29vbw8PDx8fH4+Pjg4ODz8/Ps7Ozm5ubn 5+fj4+Po6Ojl5eX///8BAgMBAgMBAgMI/wC7CRxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtGlH flAJQuXntKrVq1iFRuXXq5O3qfy+efJFNavZs2jTloT6CxxUYD36gPXjI1hZtXjz6t2rEGq4H3+g iuvSYRxUch68lLvLt7Hjx1bNnRP26cOXYcTQFfsBCqofIMYYQx5NuvRPfumKgQliAIQQQOrW9QnB 7pyIP4tN697Nuya7dsdGhHHn7R0/ZCRCiSqRTHTv59Cjh4QKT0ygrfH8DDEhSJxz6eDDi/+X+M6b vIFQlQUQsOz7+Pfw4wucKnWeMGbp3Mvfz3882P4ABmgaWAQWaKCACCZ4Fj/kjPHFgxB+QUYZEVb4 xXX6KajhhkKpM8ogIIYYCBEDBBJIiCgO0gyHLLZIlIFQCVIEjPS5aOONWhFyQlQ49uhjjjtm+OOQ RMLEj448Fqnkki8dGSSTUEaJkpNJSmnllRxRKSSWXHa5kJZehilmQ2COaeaZ6CG5JZpsMllmm3Bi +WacdEI5Z514EnlnnnziuGefgLL4Z6CEJngkCsYVquiG/BSSgjtVLiopf4eas+akmELHjyFG1Jjp p/4dQgApkP4H6qnQ0SPKIIh04ccw8Vj/iuqsA05VjjOlHAIGCaOgcymtwDpF4DjPmHKKIWagkgwx 8pgT7LNq8WNOPdB4IkoiZyhiijv5eQrtt1fxE45qRyDhRzsHJgQjet6C665N6DCTyiKgMNKIKl8R eBBU8qxi4iCjmOJWN1C5oww7v76rsElg2aMMK6AYggYoz6jTLsH8RKMCEYAgwggRSUiDmikrpDHw wijPBBY63kyjTB8sgNHKPQRLxY8zBRwyFTVKqFFPIEsEcIY9Cads9HRToVONK6k4YsQjzITDGFTW tPBFJ6FQY4gQr9QjjTVrKIJP0UeXnRFY51ADCyR9sBHILxdDFU0LLqAAAgAfqGIpP+yk/+HI2GYH ThJV5UTzyh+R+CGJK/lejDEzLRDyTT5m/FDMVOqY8TfZgnfuED/zmNJGB0G8Ek+B6t5cACGWwuMG CbFApc4ab9zDuee4K/QOONdgE8okaADiyjTnzGOqzb2oQInU/LTDxA+2x6OGIfrcnvv1Bk1lTjz5 JFMJHHCUko0v+9TzTvboXBMPet70Ag9q+pyTKPb0Y1SgPbIEwUIcwxiffZKmclz9BkgmsNSjGYGw xCUsQbFYWY+AEHzIVPShikIcInGrgJsAI8hB+83jGZb4ghtQMQtrGG+DHUyhRfjxDmdI4gtCKAQy fAGOdajwhiExxzvOwQxaDGEF14gUDv+HWJECEUIEQiSiEldICBIkcYlQjAg/AOEBwzwwikvkxyFe sI4rYlGJR3KiF784xEGR8YzZUxMa19gXNbLxjQUxIxzJKEet0OiOeMyjHvfIxz768YlzFEkdg8KM WXTikIhMpCIXychGOvKRkIykJCf5yFVQox6BTMkgfQKVEMCgCU4IpShHScpSmvKUqEylKlfJylae sglEOEBoMnmSTfYEKjGAxB93ycte+pKP63CBKcZISzK5ESlQKQEliJkWfuhDBq5gJkPuiLH52A+Q wbIlT5K5TP88M5oneUc4yiGOcpYjHetIRzfScT4UNuQd6XDWwrS5E25Kc0HfvCdCoNL/CR/IoQtr WAMmjsEIbZSDEJlwZi28oy+M0SeAVLFFF2w3z2MexZ7/a2i4NLrPfK6FH2xAACNuIQtceMIdqbCG N1DwBH5AIgHtQY03mFcW0FkqHTMFyzfUAQsFMEOfdaKnTjBakHJswxa5cAU2TgfUmBymE5UoxjkA 6UxoXsqdqVtDB6Y6FXw8AhvqgIIY7PGDBcRhHMaAwxvmcItumMMTZPABHbqnVrbyAx+RgIMmlIAA sswTEGIcHEezxA9lTo0f1ygBFOZghjlEIRHYfBE8+iCFMqBhCkfQRaJQV1VwfukdxkHd5/jhBQYs gQpUGIM1pDGARcyjCnRQRx0UAItQ/zhhEsG4RAgu8Y42NMAMluhEE3B7iSooohFJmMUqUGAAaDQV TlAhxAzqEdmLQCUd+wBHtz5C1PnwQxgKSMM09hGNOBhBF9UFClTswAJY6KNwjxgBK5x1DV9IIxRB hIpHv4SOQxiBELOYxvtEu09+3MEBZlADHjYxjWfIIBDrqIIV+IEKFfiCECgoAx7g8AAfnCMPMYBK I0KgYTjAQAAxKAVUdgEBazyXTfywByYiEAAaoIGi3F3HK4zwAg84gRrzI6xhbeaMBExiKvW4whFk pRSoOKMGbTCgHtagD3wQIQVuaAINXqFfGXBjS/xAhx4AIAEGFMAGbHiFMvRxwuOx6/8MNSAGWIbx AQhXYQouvYE1DHGFQMzCE17ABTvagMRywOEJlfizIfRwhVFA5RQtfvGZxOWGCQDg0hNggq9+SaNZ UODSl57BMzhNoxMEhsgJAATz5vEFHCBM0rXkhyqWAAuqQKUcf3jCL8hhgy+U4x5XCDE/vPEBTuwt j2YANagFUAEV6IETnnjGN7ZrszBA4KdTwQYCLrEOFmCBH7CIwCJKgYRCJAMWISAEPOhwA6qY4jXn PoEi4BADVewilr2A9Zj44QsjKBsAA+jCH/4wiYIb/OAIT7jCJ/EHTFTh3wBoAsEXTvGKF3zgLVjE YYvch9DW4wtA8NVSoPIMEsQBKsb/W0cbyoCPdqSgEfyohxhWAJV4JAAAVJg4xf9QCBpAHOISUIIh kPGNwwYiD/sASzXq4Ip5vGGZ1RjDEIbxiSskIQuRgMc7JIGHqcTiCVdPxDvGgQkjREEOdMAxtKCy jyb82wJjQIPc5073utv97nRvgxYE8G8g4P3vgLe7A/xwWGE8wBBdXIchViCK9J4mHGswQmiMIQY4 bKEW6PgFDE7+DT3QnB/gyAEV2BD4ufv855e+QAyQcAY/GAMcNbPZYaW1lbCE1h7H5tFUcA+WeGIV VbhIAagfoEtSw6gdViAAptsgD+MbiARHtpk1HNCCKgDhBCWQBDqqEuNESEEKNwCA/wIKoLdrmOAN YdkDDqCCDxmIbI99gDgGfmCHSNSCHl10nGhr7939z55dtjZP4eALh9AEmpANsecRUGEPu6AAZIAM 3sFdhdVNUgEPsXAKqSALquBc+rYSLKQMkIAIofAMqJAIM4UN0yAuzrANBLNffdENcCAAC0ABVnAI uiAM4JB7GYJy8cAOoaU76/CDCPEO8hQ4UFENnWA7LwYV7DACtuB4EtFdADhYTWEg4tAtNWVrLqgu 62AKlaAN+ZB/bqYu8+AKdzAHYUAJxFBN1TQV7aAI1nAMMeVQUHEPakANv7d2xEALSSdpMSZMzyWF U9hMtecenXVVBPYQlUAClrANqv9QBiiwDfOwN27lVuEgDvoQCNJQBGKwaTFHNPwwDBAgCenAVGaD WKyQD1BIEaDnArUQiBPYgSO3hX1hPVRBBgaQDAQDDnCgDJdwC+HADokQGB5SC9AACGsAASAQCqAn CWmgBmzgC8dgAlEgCHugCfhwiteQiqs4Ea34ikgzZOJxiAwjDWJgBEfwBbcAFX2gB74wDA7gAt5A DR1gC5+AAXUQA1KwDOXACVpgUlTwCKYAAkDgCX9QBHIhizC2jaroh+DgirAojuFBjiVhKfNgDJeA BkxAAsmQDyRwCZQwAGQQCJXgBPqgDQmwC0FgBvxgDXIgCFCBDtMQDDmQUOvgA0P/0I2nwg/TkArG kIAg8Y0RSYETSYtBiQ+NwAhTAQ0WAHNN0AFPcAiTEAFhsArpEAwJgApEcAb8wAxjoAlQsQ7JEAsu QHj6kAVaoJOgwg/VMAqxAJTc9ZDgGJSx6E1WtRbrAAc3QAiqEAqPkALrmAsGgAP30AoAMAJj4woM AAtCUAXCAA+YsAKdwAplQAW38ACIcFdaUAJq+SlsCQufAJcKKJdDqZBJQZGDMw+pQAQoEANZYAqW Eg9u8AgM8giTYCnWMAbbEApFAAfvUA+JYARVwATMkA91MAv8oA6aoAidmSmfGZoOCZHhSJTggZqC xQ/iYDGFCFHUcR7X5YlRQYll//OcovkUpDmdpolMRjkSbqZ7d5GF/QefbHg05BmdcymBElmd6ylI Y/g/ENGcnlkNoFmeWXKedJmf0mGd7EkV9+AL5HBCBZYwAOqcAgqd7Gmg+EmdCbqf3CUMXkAGTBAG jXAM3AkPqJBf3EmH23AK+UGfFUqgGyGU6GmXnjU4n2AETTALvtAMXiACrYBO8+BW87AO5hAMBnAJ 5QAP4TAOY0MVl3g+71AO77AOfGAD7QCja4dSl2OfpUmj+hZzTOABbZYOPbAHyIAHugQPlXAG3rAG DbAEtXAHQhAHdIAG7tANobAH5MAPxEAGnjAMN5ABjFB06RkmUHENfvCEXBqOlv9QqEahoEE5DU8w B7U3C1gwCSvAB3zTBjogD50wAIQQDC5wBFS3BEgQD5ZAAC6mDBSwCfYgBDcgiY7aJfxQDtxgB1tw BrXQfIKEoQrIDyEgB120Lw3VLgCUh1rBoVlCD0qQBbXXCVxwCVCQB7VqBzCgNDvACtYQBbXGD5nQ AiD4AGQxjbTJBiGwafOEDoNwB9rwDc4wCZtgMdPhq0/BD0bwAH3QkDZjD89QMVEBD+MQDgXxDefg LHW4DwLLfcoao/UQBh5AouznBGcQCywgB2HWBioQDszwAKoQDUpQCVBRCDtADIsQAaqoDDtgCPxQ BjQwn+5yM38QO3LzBceApSv/RK+EhQKKAAtgoA26Jw6TQCFlGgi+wgpCkG+2Vg9qYAZSgw6nUAat EIHCsrAxeg1qgAVnEAma4ARQcElTcAKW8Ag18ADpQA878AS0EAMiIAiPUAV2kA6uAANnwAl5AACb wA9xgAB+UHQKAxXMEAk+WzBgIAy9Kp1RWEAlEAn8cAt70Bn8EgYhUAnVcA2YkANe0A2IgADAsBXe AASdYg+HgAWikBsKe5cfJQ6isAc/wAV/UD182ghCYAeWwAjmkA6ogASSgAIvQAZTIAl+kQt78ASW 4AiX4wtyUAd7OqtYEg6Q0AXGMA7KsJyn038Farj/2Uc0EBj8oA1hQAjGYwsE/9AJYPEJnZAOiaAB 27AV4DAEWLAMZoAG2TChQ0W1hCUuNcJZVLENLaAzD4W/CYsyyWkLlOAIk8AKvEow4sAMexqjOPsl zIAFzjAOEjzBFCzB3uANK5CZdagIXxAMvBACJNp/6WAJ6Ku+VrABI6AAzKm8g0O/9SoaD+Vd+LAI dgHDtiafKcOE+mCKYYkJiHDAZ9PAfTEMErACJ4ACSJzESowCJ3ACBHAdBJMOkFAEohAIGtAMN6wP 17AOJKwMYAEOQuAAsMALN1AI/mMVkFpM8VmI8Um9xNq/+yAHAIAJ8oseQqwuwLADvgAP5dDHfvzH SQoPMQCT/HAPiiAH12AOw/8gA5PAPPfQBD8gD5EAAc7QMEOgBFDBCzIACSJXujWKE8gaKFAxDbQg C82AMN6ADdm4TsfgC0HKIM8gDgXUC1gwAQqwCtIko/8JDB+QvHlEA5kpDXOgCVz1DqngBFYwb0TQ BG/JCQDABWeABnmwCtVQBDRgPOhQCR4ACdSVOv9hrInIEmlMSyyEC3qQB2lAB3jgDMwQBqhgHNZA A0PgYuWQBlFAuqkDDEVwabqWy3e8T7wMKV/CDzRgCbawB6nwg1ARDF5wBT1wCNFAFcsQB3lQB2VQ B7twDrfwzgSjmqkwVcSqDqbACJwADPnhDKYwYFGsDaRACqkgCrOQvC4xzoH/BBW9IANqoF3WQAR7 4A1pAAXGMwlHcAG+6ww+wJI7mA6gEH6XlgbdzMDW+zkBLSRQYQQ24AV4+H/3S00OVYRS0Q3NsAVg 8AhxUAVhYA1xQANNSjDl0AQg4AiMAAhfUAWh0KLi7MJrxA/5oAJJkArHoA77QA7mkAgD4A72kAKS AAVhwA+jgAWBqxDxcAkZoGyFYLNS9M/7MtUDTQJOINMZNXv9azPE+gw24Ab0gE62sAVjsAYm0IcI TANJ0HyI9QVOANJ3bbpqzC74AAhV4AFA0AcR/QkpEArFAALcsAplkA1yUAJPvS/okAmWBmoWsI7m GdVkotm1WAIazDDhIAk6/xDRttIOcqACSojARgAFrIAM2AAKV4AJUuuBeI1G75APy2AO5jANnhAC JSAP41AId+AGgAAO3pAFYCAG1KofqIENdlACAXBpQ5Bv1X2fUv0BAp3dMFlL4iAIJVANWcgPeUDe W1EOPxABJcADDdAAk2CKt/3JasxCnTACsjAVYZADxPAOrJAAGEALlpIHAXAF2ABm3RAIXuADLZAH gFO9En7dFE7Vdckw6eAHG/B+K4YtNWBFggEFTbAP+yAIIdB4ykvTNe0Nb+ABP+AESlAFgWA8zqAA D8CBroAARPA+A40Nc5AP6LANzjCsSF40/IDdqYOg7BkNRXAHZIEOu8ACX/9gByYADfKwU+FQDyjg BM1nDpWwAqQgNS0B5jX9DghkCJEwamEJDNiQf+yQDJU8Te2ACaWAhXFp3dPk5wUG6PyZDXywAihQ AmtLDo3AADwQAy8QA7hwDj9wBYQqDmrQAV/mqJpe0/7nnmAWDqdgBnI+r67+JbBOrLLOn9+ADadA C9dgHOCwDc1ADeRODu9ADO0gT3wjDbCX6fH9RuAchcnQBUhbuEn+6ks+0NnOn/qCRwA40++e21GI D4IAWYu6y/leizFAeM5nfN0gDjrY8KSGDiMwTAJPl/yAC15wxvbO59f+Py/QAXsw8iRf8iZ/8iif 8ipv8lTwBWjQB4RwC4f/YAhrQAdUsPI4n/M6n/JIIAF4ePEKuA7YIAcpMAf13vFS9PEHgQ24UAlO //RQH/VSP/VUX/VRzwuUMAVh8AnX0Ax4gAWYwAtWP/ZkX/ZUPwqrsD5AnyXpsAiYEAvZ4Al2sAt2 HZSYnT1K/9kSz0vEQAkaxDdekOx77/BrrxFQoQ2U8ONQ0QokgDBIj/AVfhrDsAmVvIB3wOKFLyz8 0AyWQBZQcQx80Nytfu/WnvCnEQ+noKvuEAuNMAhql/lorA+OYAi/gA7c0AWHgOnUTvp9kfdDJQ66 AAdRoAa3IK+wnxX8AA2pEAdxwAipoOe77/Gmr178pgCgfvwL0g15oAVu/wFruixBvl9P1/AB7YH9 aMEPXbAEdTxNdx9H4T9UvvABo2b+C5L+6/8l7S8V758T/Cb/LEz/ANFN4ECCBQ0eRNiNX5cl/Pgl hBjxID9wLmo9lEiQH7AP7jBmBBlSJEJ+vj48+zhS5UqWLV2+hBlT5kyaNW0aXNgw5U2BFC3ulLix I1CeNkueJFpU6VKmTZ0+hfo0p8OmPi+OFOox6syjKLd+BRtW7FiyL6cmrWkVLUmOWsuq7Lr27Vy6 de3ezXi2asWrIrPKxavQpNfAhQ0fRrxVL1O1WNsCxhs38WTKlS3DZUiVMV/IGh9fFowU9GjSpQ8v XtrY7+fLkk2/hh37K/9qpapD/gXtWvZu3r1l0i5qGyTu1oM7+0aeHDlwnsLzsrasW/l06sqZ3+Tn zQUwh929f//uy0U98OXNn0efXn15bzKyHa8eX37i60bVfdiiR/9+/v35ZyGAC/8GJLBAAw9EcMAm ELAGvvkehJCu+tI6J4c1JPEjQw035DBDSdTAABAMOySxRBNPRDHFDSXBxAFhHIwwRhmDOw8PJ9Sj CR1f1iuvFxni4TFIIYc8D5pyZkQyya28iaVJJ50cAoQnp2zSGpq6gwu66DRTsksvjdImCjHHHBML LMhEU0xBuASLuC/fhFNGIsEry80478SzOn7OAYMLP/8ENFBkYAxOyzz/D0V0N3hU0UWVXB6FNNJW dNHFHQkNTTRTTVtzSJ9pPgUVVI+wnMvOTU9F9TRz6jDCiCz+DKLVIfIhNDVMU8U111IdGmYUX3Px 7i5TdSW22Nkc2gMAZVsg1a5hjYU2WqaWKaVaZAx7Vlptt/0t2MKy5TZccX0Dd1xzzyWtXHTXZfe0 W9uFN15n35W3XnvbpPdeffetLV9+/wUYJnUDJrjg4fw1OGGFCxp4YYcNbvhhiSkrL6FmEYt4Yo0N C8cectrZ5xw2CQrnHHgoRnhjlYXlZ5glmCDjjC4eaVCh74TxwZNmv7O5p4fo9JlLb81KeWWj33KI Fg7U+AQZXGiYgh6H//aZ5h2HkqHgD3XI+Q6ca8RxqJ6Tu1mnHoHqSYccrn/mBx9iwukOHmLwGXml jI/Gu01+aHFgle6YgaIRcAyp44wruOFHGRNWaGQNQKbZk5Mu8Ehil3zWWHOdSsAox5orvsBDCi/u 4acaRcA4Q4hm+EFmDTOosIPuWnsqOm/bo0ragVPMccgeI2JI4wlqgFGjg2GescGHY7ZB4gp1ApHC lF4iYeGREHrgp54zEojHFQvWWCaVGxrpppAhjDlGEU1YYcIRbGpRAol55vzumRz0mf12/Y3a24FX uvtGFlzwBSWEoQ5X2IEqgHGE//FDEzCoBRsowTt+vIAMQyADP+DxBv8YyOMTBSgGP/Qxgzbo4wyR 6M45KHEELpBBDjEwAunQ0Qth1NCGN8QhDp2RCgbYIhz7A+JYkkaBTnQnE0cwoB2cwQxPnMEa25AB JByChxo8owtkSIdDQMAHI8yBH+9YQwc/GAt+/GIGZ7BHzByiDlFs4gmBgMYyBKGJcvDDHk5oVR71 uEc+GqEIKJhV/oI4SJY4xBMAUAIe3jCHDhBiH35IwiRQ8QQtCGMbDljBJOBwAkyYgxUsYMMk6AAF UJSBBpPYhAcKII9aLABY0BjBHvjhBxZoohBR4EMs7EAFXvwhBG2AB/3MQ0hiQoUfxHDDHPhABjPk gnfrkMUXyACHavD/Ix+WsMMVpnCK7mijDlPIwzGs2QcreMEPnAgHPQDxC4pEoojpUMUXeoCHfVhT E9KsxDowIsyhFdOfqalYd9BBlYDyDD02Y1uwHJLFuv3ToYHpZ9AGEtGdEbQnE/1IRQX5UI521KMQ G+ZwGvpRkr7GIesAxihOwU5zMEMYeTHHOIDxjZLWNDYO2YYTxtCFPGihEMQowxMqhlCHmMMTPAhG RG26VIzxQxo4yAMzynGOQOBgDXRAQdi2MYtswG1qsXBFO8zxigyQsR3D8MZGmbrWqqyDEhCgVXfa sY0rGIEf0BhDHjaBAjWktRRYaEMZihCKU2iAGr6YQyb0yVbGQjQe/Jowgj32yY9wNEEK5ejDFfIx j2HYQBPTCIMX4GGPTqRCFBrogRVIMdDGttZZ8zDEAEblEFPcogdD2IcZIOFVFJRhFWsookPK0QoF gKAGu1Cra5XLFWN4ABHqcEguQLCHMShBHGrYAnTx8YFNuCMJa3BILdQgiQTMAhE4+N9y1SvEdITC CShYghREAAhhcIEE/HBGE46AhRXoARr8SEUVsKCEF2SCFAqgBj/OEAXErdfBxyLGLv4gCW3Mbxnv yc4pBsGKb/zMGZWABeK8YYwOy0Mb7Hxwio1JJ4LyjKgGHamKZYydkdatocmdcY51vGMe99jHPwZy kIU85HoFBAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0008.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
software debounce
lConsider a simple push button application. In this case, some event should occur when a <= /span>button is pushed. Ideally the invoked event will <= /span>occur immediately, and only once for each <= /span>button push. The system should be also ready to respond to a repeat button push as soon as possible after the button is released. This presents an apparent dilemma. lHow is the differe= nce between switch bounce and re= peated button pushes determined?
<= span style=3D'font-size:87%;visibility:hidden'>l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0009.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
brute force approach
lAssume that the bounce period is less than 10 milliseconds.
lIf the switch input level is stable for longer tha= n 10 milliseconds, then bouncing has stopped and the in= put level represents the pushed or released switch sta= te.
lThe Brute Force method only cares about a button-p= ush event because this is what invokes the action. It = = recognizes the switch release state as the stable = state and everything else is considered unstable. <= /span>
lWhen the switch becomes unstable, the action is in= voked permitting nothing to happen until the switch retu= rns to the released stable state. = ;
l<= span style=3D'font-size:71%;mso-special-format:lastCR;display:none'>
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0010.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Program Design
To go any further we = need to know how to:
= 1.read input bits
= 2.set the LEDs (Light Emiting Diodes).
= 3.Control the timer
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0010_image181.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAV0AAAMGCAAAAAB0NQh2AAAABGdBTUEAALGOfPtRkwAAAAlwSFlz AAAOwwAADsQBiC4+owAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAAC6TSURB VHhe7Z19cBRHluBfEPOH2h8Tp7YcwMkNS0zIve0Dy5YlAsmzQtozWtzRMDaasdSzFmtubKQAbAdw 8vChCI8vhGWvwrDj8egEw9qEISx7hfAd1mIPKAJwDEKxImTrRKxWo2BlWcdXWCddYEC6f4h7WVUt 1Orq7qzqyqrMdGbYalR6+aryV6/fy8rKzPejO6AKMwI/YqZZKQZQdFlagaKr6LIkwFK3sl1FlyUB lrqV7Sq6LAmw1C2M7U5//laZQyDO7Hg6yyFVadQIQhfZrv8i2yEkkx+tdImvEHQdZQuQvfmXyPdZ h+5VKjUC0HWYLaGBfH99ZTN7vALQ/ccbjvmEuzyz333l9+zx8k938sOzLGJQlht4+af70Q4WcAEQ 7+Eaxs6Be7pouowQZL278q9zGek21HJPl5XpYvuzdrz79g+bLjvTRa5PvzWcxxQv77bL0HTRePcd ZGu8nNM1N92JBgD/4kq/YXd9B1tsWmBJM1vj5ZzumS1mHYZbrXU/gZO1owEd6rVWu3Rh/UWmroFz unCfuVVWlwJsamqZ6r36WBAlhr4mH9pvExdLAf+f6l3SvRCFdImYnE0Tt12Nd7opGlZd9s72/vJo WxXAfyl/94WXptZDMNoJkTvQE7nTW1ac39q8fWr9lfLomaKYnG1MNisKTBdgT/85qAmtAvg40FdY eerKOago64yBeD8YiWw/faXLV3Lyqi4X89M2UdmoJjBd4jSexP+/geIAhODiwDqAorsIiMeAwXIf hMMNupyia8FAThdDfjX63NC186TWfJg0qTxBXK8hZ0G3Q6KC2u7VMRio74Q9OwMnalcj4eBxWFQS 2eY/jlgmfN0zcIrqG/1HDu3W5YwuhkPkaNRwTnfpa+aD3FFsW1sYBhcXn+8MDKBfgEFfuDkH4EKo LgcqZ1peSo4NBnU5Ex49P6OBZFuGc7p5D3eXJLYtMApwL/Gi258bKfJB+bivFz/wt9uLfNDy6j2B CSgdBzweO6bLJZbhP5tot80ysSLndOHF1z41ae2MGQbIv3w+wJ4tFv0whjMkj//h8dgxTS6xHKx3 EKWJKt7pmhuvQ0xYmy7/cyDNjdcZvKxNl3+6DI23e5Kt1xVh/i4z4+0+9K4zX4HkWnj3uwB5D5/C Z13nC8Jl88Ju1qXyTxdeWXcvg2/w5FYmr5rjrUAAurnHtrzn/NtFpi89YowFoAu5721Z7/C8uunP mb1qnm29ItCF3Pc/WrnlPljujAUPX4Sb763/grnTRcxC0CXzvs4ArGv8i7TBLTiURuT2R39eD/cx mDtldl4x6OK8OhzNWU7TgzqY7gb8jEGITHZOUeiS68+leD3eTCGTjr9zfxeJrnOtdkuTosuStKKr 6LIkwFK3sl1FlyUBlrqV7Sq6LAmw1K1sV9FlSYClbmW7ii5LAix1K9tVdFkSYKlb2a6iS0Xg1N8Q sXkAHW5sDkB1SRLZ7iOxBi+narkbQhLRza04qRFb5szLTSfoS0QX1uh0NzrBxRkdMtH9qY7kcWfI OKFFJrraKh+AAie4OKNDJrpZW/chlBfcmAZCCV8murCa0F1D2XI3xKSiq/XJ+OmPiTLTidLOSJ8s n5/+mGR0SZ/sRco74YqYVJ4BsE9mdMtcgZf2JHLRxT6Z0S1L23BXBPin2/0/oZl60d6T/+91K9hW MB7v4Z7uvKID9zRTO9O/tOYZmm+y3e+Nd7rzACcy02ccybltqctQn3a2r5VvQqIs73RxXrSVBmZb koacM2xWa8UumXu69HZr5S4Ystlno0zWwglD1wYzC1WyHrYgbF2Ue9u13iSOaii6LG+GoqvosiTA UreyXUWXJQGWupXtKrosCbDUrWxX0WVJgKVuZbuKrimBiYYXjYkhY00t0Bcax59awX3QyREOisC2 e6s1chfgRCHuDWmUDPZBd/iOCEwXSRi7m+dUwynoXoub8eIG52S3c8Ajfdfwc0GBV1uea/dJbLrG 7ua9ZXeOwtH7I3emnvqPwXfX4X6xeGT4LHzX0RzyastzCegCaLubY0v2duwdQaj5LXAi0qm1rKoK Guo2Hfdqy3Mp6Ma/YC+FhqGOGd/5ztB+34BXW55LQTc+DJ2ItFX8nOwrTconxz7GTXj1rdEdjla0 6sT2u7NbeRt/GaysmiJ+gpQvo4O4pXGJV1ueS2W7OcUhdLeRY/Ngl0F3J9kNvfhc0i3Pae0vEzmB bTcwmuPTdzfHTc59Xb1F4xDs6l3q34ZH8chnt5DLvcbW6JkgyqCuwHTJNufG7ubkoxRwm3P8icfw H37wG8k7kmx5ngEz+qoi06VvpVeSii5L8oquosuSAEvdynYVXZYEWOpWtqvosiTAUreyXUWXJQGW un/otmuWrdE53j9sutP7rK2zsMqdd7ov/v5XDHcEGBs5YBWYJXne6R74/SsMkx2dXGIJlmVh3unC 5t/fU7SPSYqIy+t669+wDMxSBe7pwubNl9eVWWoTpfAZNjdt9tn5p4tZPL7AVxCUJW0mmrt6drCN aOREItAFKyso8yhvgytiQtB1hQSLkyi6LKjGdCq6ii5LAix1K9tVdFkSYKlb2a6iy5IAS93KdhVd lgRY6la2q+iyJMBSt7JdRZclAZa6le0quiwJsNStbFfRZUmApW5lu4ouSwIsdSvbVXSTExh+7sXH CxjOhcqMvfC22/8yQM0zyy1tGZ0ZMgu1hadL2nr4MORzacIZ0p3HdGtnC1ZCTPiF6COcmXBmdOeR NJLclEOHgDp1gjsXnRndO97b7t3b+59+uTKU3ewONsqzZEaX8iQuiNU8tYKrGWR6k0WnS9Y9LKtG o3XhDlo/heh0x1/8Kx6N1rgRotPNY7vwwbq5xtUQnW6GzWdcXdFlCVjRVXRZEmCpW9muosuSAEvd ynYVXZYEWOpWtqvoUhGYfICIDeO23NwMOkhku4PaPcDtjv/INJsi1Z2WZJxhVluN9AgAhVYAMJWV yHazag5rqJ7mxjEIP7472/Se0eniVty8FIlsF5brUB/lha347yZmk8xdNkB+zVd0mRDYiK/dYQ8T 1faUyuQZ4HHCYKU9EExqSUVX65N5lVvC7PZIRZf0yWr46Y/JFdUAsE/2FJOvuE2lUtku6ZOtsAmC STW56Ob+5cQ9TDDZVCoX3VNTxesauRnDkcvvTr8++d9/HPp11xvczEWXyHb7N+54dhqyDny68gAv j2vS0J1uP3YkD4jVPrv0tXW/4MN8ZaF7+Y0lbTGieW37Xnmdi1noktA91TB7Q9Ksnd18BDcp6GI4 +yL+Ea3kCy6Cmwx0STib2yHN5iK4iU9XD2eJhYfgJjzd2eEsHjEHwU10uvHhLB6v98FNbLqJ4Sye r9fBTWi6ZuEsHq/HwU1gusnCWTxfT4ObuHSThzN+gpuwdFOFM26Cm6B004UzToKbmHTThzM+gpuI dOnCGQ/BTUC6tOGMg+AmHl36cOZ9cBONrrVw5nVwE4yu1XDmcXATiq6dcOZpcOOB7ljTq7iW5Muh l9LMybAXzrwMbjzQHWnt7/LB1a/SwDUNZxMf9EDF876ZqmNNLX0h41f8d6JKV4cleaALcL5lu8Zh qvfqY2jGZiVJOKuFDd8f/Wo2xYnC0YCuYKTVhC6Ai8OSfNBti5aTubdT6yEY7QybwU0SzoY6BoOQ V7hzoNw3cbEU+iBUfQq614Jxl8a6F5YmanNvWJIPuiW7Xu5CCsevnIOKsnF/ApCk4WwR/La6qOAO VH9f1VE7GmjacLOsEo6WbLtSHj2DXKvzW5v1b4U3wY0PurD7dMtDAAPrAIoAbXBOSR7OfIMNZVD3 avCFs1UnYQA69o7A3o69A1e6fCUnUc37wcgeM7rg0js3Tuj6fldY+SCAllV9/ly4qZ7Ogu0TPZ2h 0SdqGzt2dUNlYIRUHiz3QZg4GPTh583duDvBjRO6UNBcXwclkW3+47AonkfKp7MTH7T7w+HWgTA0 VK4rrPu5UXWCBEgTjztbsxvBzXm6w2Bry8BNxwDCzTkAF+52rwiM1E9nCzo+wRAGC2DXm/tD0LpT w3e7qL7Rf+TQOXOrnTnqQnBzlu7k4NmPB/5olW4p6UL5uqYAtj93e1Ec3HRPZwWdEaTVVgAVbz7h q+snXbGc4tAFcpf0Je8pC/N3bg7SHe7p0hbq/lW6RiX8Xeuf+ghWo6cak0j/dBa+9e31pdjJIHfo Hbw/peO+rt5QgXaXSjGFdnnKNNqsg5tDdDWjNZg4N3WWZrDRF9QfP4j5k/vjBx86XP0uIXXtWPLC OLg5QTdmtJZtNnWF6XtenDOz0eETaOowuH3xXxey0Iw6HaA7/d3//l+zr8657aQ3u7GyL3vzL8qJ 82ZRHKCbVVKyc7b5diRM97R54cOvPfUr59xMkovAuPnPVsMwdXscoEvOlZdX8w+zXC/16VMKYsyJ vsd4hn76uJlJWxyii5eQPceEM7kqoy7jmINnoYmbGTTEObozJoxPtI6V1A9UfcdO51eX9h00HWjE ayB/6TvYv25D4riQdoWZvKSjaqKzdDUTpjovrVCqB6oTkebdg2Vt95sP4+IZrrW2DBXur/64tt30 dJm9pKNpgeN0aU5qSSbpA9VEBIeCww9FO1GdPuw+1n3/Cn9sCH7qNDnN18UvwfzQ2JynFM1wk6wI sHRxaYT5p5t0tLAHyDBY1VqkqA+7/yS0aygymrO9vzzaVkUOvYl/rkLCgA/GcwvbcGacTQC6kCS4 fV+stYE8jOnD7s2V2/zv3D7efw5qQqtO4aHFtUTgk+hg4gMb43AmEN1kr8L0oVscXDCG3UP1HXWR 4GF4Eo98Q0binyB/74viy6E5hXk4E4oumAW3PCDutK+Q+F1t2H3B+MXeyH7Ix+3ehkL6ISzXyBh6 fGEfzsSia7a2uqAS50EMNVViS/Rh92MTLaWXbpTs2Rk4Ubu6omybH4eMkfmZuYbrQjgTja5JcNtf i/s3Ve7viQ27/8WaeVC8MzC4uPh8ZyCwKwc0x3z6WPwwuivhTDi6icHN3z42siSgDeHqw+7nhogX 2P7cSBGGscba20F8AwSbNsTZrjvhTDy6JsEtQPqxs4bdjaFevXeLP8kzWtwIr1vhTES6psFtbshK 9btr4UxIupltHOLG01n8vRXhaSLuiu2/CnMznAlqu5DsyS2tg3A1nAlL194kRpfDmcB0bQQ3t8OZ yHStBjf3w5nYdC1NYvQgnAlO10JwO9Xg2daQwvXI7vYN6CYxYjg7xvi9cvLuisB0qYJb/8YtNWk7 a8wERKabPrhNt79nupsWM5xzFItNN01ww3B2lvlknlR3SnC6GNxOJd3y0cNwJnqfYcZkVj3yhul+ xp6GM2noQu6Bwyb7GXsbzuShC1Cz4vkt8fsZex3OZKILeWfj9zP2PJxJRXdOcPM+nMlFF+BucOMh nMlGdya4cRHOpKOrB7dIp7dPZ4K/V0v1aITB7YE93j6dSUwXg9tufTErJ0X0J2FOMCa5DEWX5f1R dBVdlgRY6la2q+iyJMBSt6S227AM1/rAH0YbWbJLr1tSuhVluHytr/ZCegBMJSSlW7qr4UN4ubkg tjRQXyfoepGULmxbc+QGbIIpfWngkLZO0GTBJWPestL1746Q3aGMpYFfa+sEGaM0US8rXQhXBnFr yQF9aWBJlKwTVHSdI/Cgtu2LsTRQWyeYbn9f584d0ySt7eoNNJYG/tMlsk7QeXrpNEpON6wvDdyg rRNMx8L5v8tLt1Fb2K4vDfTr6wRdL/LSNbq32oJBbTdTD4q8dD2AmXBKRZflXZCI7uQDBNQw7mLq xhZ8VDdFIrr6lrDoYP+4iqrpLghJRBc3a9BLoQvc6E4hEd3sGm37X6jgxjE4sYcp3W10Qeopne7f unAqylNIZLuwQm/zo5RNd0FMJrp5y7Ttq/NdwEZ5CpnowsaXsdV7KFvuhphUdB/nq8fgyM7cbhgB 3TlIJiaO+mOS0c3CPlkNP/0xyejCM4fhKTozd0dKKr8LyyHWLXOHXrqzyEU3d9kdZvvvpyNp9ne5 6MJGD16epcAuAt3+j6jt5jL8mlr2Z87u0C6k7U7v+79n6DcHmZ6i7jJcfuPQkjpqaep7FifIu+1O rt6x9A36PRay6EVz3x37ZjXjREK80/22zKm8NgnWl5WX1zXI1jtwTrd/4z5730m6Wi8+f4DpmA/n dP99B1Pjytvx7z9kunQmyK0U57bLLTe6C1N06TjZk1J07XGjq6Xo0nGyJ6Xo2uNGV0tsug0koQTA TpP1Jslz2tGBcURKbLqLs6G+7iemIDBznSOAMlIiNl1cCVEfwSxrevI6wIR1y0ZK9Z+EinE4I0AZ VRabrtF0PXldmHxE4Jb28w6Bqy9Wy4hPZpWloKsnrxvvgHZMWHdE+0moGIvVPFhjGbsnUtAlmeqK 4OJXONXpCdB/kmIsVlN0M/sC6pnq5vvx4yboP7ViLFbLUHkG1aWwXT153aKSPWM5H+MSNfKTFGOx mvvLg2fuhxR0w805gKuCcXEaJqzTf5JiLFbLwPYyrSo83XGyLE1PXtcXujXVs0f/aeS00/LYeVeE p6vHLO3bf2+k+ceHXtF/GjntPPQK5IqEpzvLMIODnTeaSkH/yUWRiS4EtRWV+k8uilR0uSA6+yIU XZa3RNFVdFkSYKlbBtsda2ok/bKJBg3Uznvx07+40sPhhZkbJgPdkdadBOWtVn0gXfs8WevBBlkJ XwMZ6M40qlrr5o4B+dzUpN5NOOv0ro7hA5vhEarL3vH0IVhrmVS2G8UGFZ+L3bFxjx+DZaPbthBH eQ2498G9zn4x7GiTynYXzhpeOF3MQadBDrrfoWHhEC/xu8bnQH2nHWNzuI4cdAuRSucyIH4X2kq0 zzZ8Ee95kYFu6SjBmOPTPvTPWM/BY74y0NXHzo0h9FmfHqOVrc/gPc45VyCF7XJHNXZBii7LW6Po KrosCbDUzbnt3tf+NP3aVOucpj//hfVKFmpwTnfVtdffttAaq6L78tluGck5Xfjr9y7nWmVGLX/5 X/6eWtaWIO90cw+sa2RlX93N9Yx3IuGdLuQfe6M9GxzfqYLsqPHn99h9LXRT554u5gkGuLzlYVvf zOSVLOyokcGZ+adLGpfbRsYWaUpwiEYKwMKOGnQKTaXEoAtZ1A6SWjADaNRVBaFL3R6+BBVdlvdD 0VV0WRJgqVvZrqLLkgBL3cp2FV2WBFjqVrar6LIkwFK3sl1FlyUBlrqV7Sq6LAmw1K1sV9FlSYCl bmW7im4KApOMN4bPCL7wtnvmvz23MsQrYeHpQn8/QM1TK7h6nxYzePHpkpYcPgzLqjk0YTnoIuAB zLpY8wymUeKpuER3nittPny4CKZZzpm02gqX6Gq7XrIpd2/c09WP5s/jCa4AM50o78mewkL+eg5u 2S4lI+tiw1iFGK31mi7UEJ7uN1warXHnhKe7itXsXidMW3i6TkBgpkPRZYYWFSu6ii5LAix1K9tV dFkSYKlb2a6iy5IAS93KdhVdlgRY6pbIdicfIKBwVCeHm8EyiegOalaIm57/kZuhB4nohmLf8UdY ftkt6ZaIbnbNYa3pFazXVtMDloguPKXTXUPfetaSMtHF1F+k/JQ1M3r9MtHNW4Zv3THvF33rWUvK RBeqCd3fsEZmQb9UdFeShuvZv/goUtHV+mSFfIDVrkIquqRP9jQ3D2qy0SV9smqOTFcu2wXskz2q 6LIigH0yjvpjkvldgGqLjmEeu9mDAkQ16zNTd7P6XtjRy3mf4Y5F45oEa10G63fPEmTO6VpqCwpb Y2tVu2V5yehabj/bCoouS76KrqLLkgBL3cp2FV2WBFjqVrar6LIkwFK3oLY78UEPVDw/kxa0L4T/ bJjQQO0EHrKx6vdMULq1sOH7o1/FctpOFJLkwYuzoV7PKMxNEZPuUMdgEPIKdwameq8+FoRT0L3W By8B1EcwI+BU9cTFJQMLCvqGSwKgC0z1zr9U7kECXDHpLoLfVhcV3IGp7f3l0baqo3AUQcZKb1ln pDi/te47iI77dIHeMoBxRZfyO+0bbCiDuleDx/vPQU1o1d6OvXNyB38c8A+1w7yLV3UBALR1D4qY tgvB9omeztDoADyJzL55MAFcALIRZ/FNQ0CbGulBEZPuiQ/a/eFw6wDk47uIodC4GTh9MFIX6PWA rLh9hgUdn6yFXlhQsmdn4ETtaoDbSfDNCHiDV0zbLeiMIK62AhhcXHy+MzBVHLpQYMovrAuMeANX 1P5u+Na315diKvHtz40U+cDX1atPjdb6BaXjPnQVm/Bfn/kMgVJT38EeuZi2C+AL6nEqoHUWfEYC dz11ux8QMuFMftUFPMrpLipd9nbnxBkUXScoJtOh6Cq6LAmw1C2o7fYdO51fbYSyuXi04UiYaNCi WSNLeGl1i0n3RKR592BZW5VZ8/ThSLjVSkYjf5wWAFMBIelORDrDEH4outanDzBOXCzF/4t6l3Qv LDWGIxGabtueDkEKSbcHcBgXqhDueghGO8M9kTvQEzlThuOOzdvjhyMNCY+GIIWk+72+8sQHx6+c g4qymQex94ORyPaZ4cgyFBn8OibhyRCkkHThvEZ3yjewDqAILsZ8Z/wwY9tCgEWHYxKeDEEKSTcP xjBw9RWOwiQBO/+maWhCH4xFl7jONHglVS4k3YLKpleDQ02VgZLINv9xWHQdJnzdd5s4ezhyRsIT vELShf21OCZWuR/CzTkAF3xFdTlQGcOXEzccaUh4wlbUN+7+9rGRJaRXu/2524twBLLl1XsCE36M buXjseHIgNbrjUl4NAQppu3GBhbxU7dKjFl+MszoI2OP+jNc7D2m/unNEKSodD36qls8raJrEZgl cUXXEi6LwoquRWCWxBVdS7gsCktA98urVTDxwSUyQY+3Ij7dvrJmmKoNRvZcxkmQnBXh6f7hEBLt 7bjlu6+MzJaeNbl0rPv+Fd50c2fusfB0cz/DVzxDlT5YAt/iM0XPzOTS70K7hiLGA5tXJi08Xc3b 3sBZkDlwXRtlnJlcWrnN/06y+WUu4Rae7gyn2Bh6bHJpSbSjLuLJqO7dWycH3Yd6yDTIpbFmaZNL c8Yv9kb2exvp5KBbEh0KflkZH8JaLrWUXrrhkgtIcho56Ab2h4rP6/vvzpQNa+ZB8U5FN0MCjdgR e2k1mWmKBQd4jcmlvnNDHs3nl8rvag5Bn0hqDPDiJznocUjDK5DDM2Ro/cyqK7rM0CrbZYlW0VV0 GRNgqV75XUWXJQGWupXtKrosCbDUrWxX0WVJgKVu7m2X8R6uFnegtXgreKdrdW9ntrQswlWjOFaB WZLn3XYtNYY7YUWX5S1RdBVdlgRY6la2q+iyJMBSt7JdRZclAZa65bbdy6MlLOGl1S0v3em+rw72 71F005qAdYHL/9p2iNTaar2qkzUktN3poT99dtJglOUkK+u6pKN76ot91inYqEE1GCcd3T//22xU jEeH090V6ehu3nz5X++ab/3b6QDY/TvVfZOOLkBu7qo9s1yvXXxO1JOQLmLJys+PM2EnSNnRISdd QkIz4Vt2mDhXR166mgk7B8qWJqnp2iLiZCVF10mac3UpuoouSwIsdSvbVXRZEmCpW9muoktFYFhb /fcpwNI8KnkXhCSyXX0vBtwP8k+KrvOWM/NgZp7yx/kTptcoke3Cb36jtbfG4xcSs6DLRFffrxue SW9TbknIRLdQh7bcLXbpzyMT3ewK8rIyPzd9q92SkIku/C2h+6Jb6CjOIxXdR0mDH6dotVsiUtHV Hif46Y9JtrdI1tZ98AI//THJ6MLqfRB161tPcx6pPAM8AuQ/fopcdHMrrni882P8nZWL7uSd//DL V2qU7TIh0L+x6j8H9218nZvnCYlsd/ofu449AFk7T23ZtIrJzbOuVB66l7c81ab1xlY98saFrXz0 y6Sh++lbB2IDvLkHDq88wsUIuiR0J9+CL7Rdd/VSs+L5LTwENzno9m/c8WycV8w7y0Vwk4EuCWdz uwl8BDcJ6M6Es/iYzkNwE5/urHAWj5eD4CY63TnhLJ6v58FNcLrdW+eEs3i8Xgc3oelO7/uXhHAW j9fj4CYy3eHXjKezVI+ongY3gekmDWf8BDdh6U7+Onv201kq8/UuuIlKN0044yS4iUk3fTjjI7gJ SZcqnPHw5CYiXcpwxkFwE4+uhXDm+ZObcHQthTOvg5tgdK2GM4+Dm2d0G5ZVYdP/MNpo5V2gjXDm aXDzjG5F2WNB6Ku9YAWurXDmZXDzjG7proYP4eXmApjqvYqcKZL/moaziQ96oIIkEjbKWFML9IX0 38m/E4ubT26e0YVta47cwDR+U9v7y6Ntj6VP/msezmphw/dHv4qjOFFoZBEeaTWjCy4OS3pH1787 Ahd8cLz/HNSEmtMl/00SzoY6BoOQV7hzoNw3cbEU+iBUDaegey1oXwj8RiwsTbRe94YlvaML4cog zmQegCex/aH61Ml/k4WzRfDb6qKCO1D9fVVH7WigacPNsjtH4WjJtivl0TMA1fmtzdtNvINbw5Ie 0oUHtRkI+dWYKbw8dfLfpOHMN9hQBnWvBl84W3USb1TH3hGAvR17B650+UpOVsD7wcgeM7rg0js3 L+lqRlWyZ2fgRO3oRIrkvxjOziabuRRsn+jpDI0+UdvYsasbKgNIF8tguQ/C4S9J3tDzSTolrgQ3 z+mGBxcXn+9ckSL5b/fWxqSz7k580O4Ph1sHwtBQua6w7ucxlBOAfZHUnT03gpuXdEkiYIDtz5FU wEmT/07vG0nx7mxBxycYwWAB7HpzfwhajeTMt4vqG/1HDjWlxutCcPOSrpEZXE8FnCT57/Br61LN ZyzojGDdtgKoePMJX12/pimnOHShOQfdw/XUdF2YLekl3XSNx7+nfToL3/r2+lK8TaXYx31nCj/H wdfVGyp47vYiXxDzYpPc2MkL6+DGNd1U4SyGzBfUrR7N1kc8DZL2YR9Xs2Ly77uPcaaQ2QY3numm CmcUdk8nwjS4uUN3HlBtqDqXR4cbM/Sztm7a+M90d8KylDt0rWZJ05tx+Y1/c2GGPj4G/soyNsoK 7tClvJg5YrnvtrOfoZ82btq7dr0Wz3Qhq+ZRxjP0bb+ko2PONV0chDj7eorlZ33HTudX48jYQdOR RtD+0Hewf90Go2edgCSDl3RUeDmnC1lvn1qX7EH4RKR592BZW9U183FcAPzDUOH+6o9r201ZZPaS jgYv73RTPFBNRDrDEH4ouhbbqb/gMN5w6L9MnSbt/7r4JZgfGtO6v3NKxi/p0vPlny4kC249EMb2 Va3F54Wp9RCMdoaHtDccOdrbjrV46E38M74b/RrwuTihMA1nxtkEoJssuH2v75BFHsaOXzkHFWXj X2tvOIy3HXhocS0R+CQ6mPjAxjicCUQ3WXDTh26nEN3AOoAiuFgSJW84DmtvO+qbAZ4gf++L4suh uYV1OBOKrmlwywPiTvsKR7Epk6Q583O0Nxz6245R7RCWa4nDb+zDmVh0zYJbQWXTq8GhpsrAAJRE tvmPw6KWS+QNh/62oxMPHSONXHBmruG6EM5Eo2sS3PbXhnDP0v3YkjAZz73g26C94QhobzvCu3JA c8ynj52Lx+tGOBOObmJw87ePjSxB54BjuNvJeC749Tcc+tuOxtrbQXwBBJs2xMF1J5yJR9ckuOmv NcgYrtGhNcZ6td/wB3lGix/hdSmciUg35ZNbQr/A5IBr4UxIuhm+CnMvnAlKN+mTG4XpuhjORKVr e1jS1XAmLF0S3GxsHOJuOBOYro1dsdwOZyLTtRzcXA9nYtO1NonR/XAmOF0Lu2J5Ec6Ep0s7Q9+T cCY+Xarg5lE4k4AuRXDzKpxJQTddcPMsnMlBN2Vw8zCcyUI3eXDzMpxJQzdJcPM2nMlD1zS4eRzO ZKKbGNy8DmdS0Z0T3LwPZ5LRnR3cOAhnstGdCW5chDPp6BrBbYxmc0iK10SOiIgwS4+6obj87J6i ma37qauxE5SKLga3v6PdHJId0lmaJaMLMCsvgisAU55EOrreI5XZdmNtG8MJkgBfDr3kJW5pbXek tb/LB1e/8hIu3+vVMiNzvkXftMVYsZKZMnu1pbVd3LUhWk7ysxorVuzhybCWxHRLdr3chXSMFSvJ FgRmyC91dYnpwu7TLQ/NrFgx2ZeMKVhNucx0fb8rrHwwtmKFPUqTM8hMFwqa6+tiK1YUXccJbMJV P8aKFcd10yiU1nbJNkS4ARFuRKSvWPGkSEtXX6eiLUkxW4LtDmx56brD74fbI/Oer7JdlvdA0VV0 WRJgqVvZrqLLkgBL3cp2FV2WBFjqVrar6FIRGNY2Z/gUYGkelbwLQhLZ7m0NVyXAnxRd5y1nZl8s 8jaNjyKR7WZt3acxrUmWm8J94hLRhdU63Wfcp5jsjDLRfURv5HJFlwWB3IqTqHZZLgvd9nTKZLuw htDdaA8Ek1pS0f0pQfQ4E072lEpFV+uT8dMfk2y2COmTvcBPf0wyuqRPtsbed5hNLak8A2CfjKP+ mGy2m1txnaP+mGx0+fIL3NO1nnzpZWse1F4GItpzcO5378yz1vxpsNZlsH73aMFqcpzTtdQWFLbG 1qp2y/KS0bXcfrYVFF2WfBVdRZclAZa6le0quiwJsNStbFfRZUmApW5lu4puHIGJBkwxs7jS8sLf vpDr66oEtN1brXU/gZO1ZD2alTJRaLWGFe3msgLSBcAst7CpqcXYeEH7mOqdf6kctHShExeXDCwo 6BsuCehbM0z1LuleWAqnoJukwHS1CEmXEKoue0fLDlo1tf5KefQMlAGMNmkHeiLF+a1130F03KdJ LCzD35u3H4WjyNvdIixdgD3956AmtKrnSpev5GQFDAY/0Q8AfBzwD7XDvItXtQNn4P1gJLJ9b8de t+GKOwJ5H1ohyQ76zWC5D8LhLzFt3YB+gCxdzcaX78U39QM3ExNbumXBwtru6WI9O2joNCYAnOrV eOkHrpF/6tuSaQfcQmlyHiHpXh2DgfpO0LKDri6qb/QfOdSEbdPTha6+20ojf2jsgD592s0iJN0o EmoLg5YdNBAgGUMHr+OhsH5gYIaffoD4ECw5xaELbs/TEZBuABMI30ueJfTsoPr+C8HxmQOYRBQ2 4W+f+QwJ/B2P+bp6MYWru0VAunf3W9CThuq/a49u2gGyJwPp2JIj2gH8h3bM/Y2HRKTrrv1lcjZF NxN66eoquukIZfJ3RTcTeunqKrrpCGXyd+HpNpBc7QA7m1oywcCorvB0F2dDPY738lmEp4t7Q9dH wjBVbTKui0O+bo/oxt9l4ekazektu5M4rgswrug69q2eO647OLMw27FTWFMki+1qreZmXDd2D6Si i43iY1xXVrpau+aM61r7NjspLZvtamzixnWdpGVVlwx0ScegdJyM4SaM61rF4bC8DHS1oV2/6biu w7SsqpOBrtU2uyev6LJkregqukkI9B07nU/mlJkUDyY8mlyFyLZ7ItK8e7CsrcqkWV5MeJSL7kSk Mwzhh6JrY1MfS3GYrFSf8ahNeLw7OdKrsRyBbbcHwmguVWthPQSjneGeyB3A/3u1GY89OOExx5gB 6eFImcB0vy/Wvou+T66cg4oyMltEL2TG4yhOeNSnRJ7ByZEsA1dK3QLThfNay6YG1gEUwcWZZsZg ej4DUug17nkwhjNt+gp3TRKw828mWJHXMyCFpltQiYlBh5oqSyLb/Mdh0XWY8HXfJXybh5EykT3D /lqcdle5308mQV7wFdXlkM139UImPHIwUiYyXX/72MgSdA56Eipfy6v3BCbIYBmOlmkTHvU5knfD nfvBTWS6xhTHmSRUGM5wuEyf8ahNeIzNgHQfq3FGsel6ho3yxIouJShbYoquLWyUlRRdSlC2xBRd W9goKym6lKBsiSm6trBRVlJ0KUHZElN0bWGjrKToUoKyJabo2sJGWYl7uoz3cLW4Ay0l1ZgY73St bb8LwJaWRbji7n5htaGeyPNuu55Aceykiq5jKE0UKbqKLksCLHUr21V0WRJgqVvZrqLLkgBL3cp2 FV2WBFjqVrar6LIkwFK3sl1Fl4rA5ANEbBgnQOoL3TkoEtnutxpOnKr3pxIOwGqXIBHdmeURrm+m mfRmSkSXZBMmpYYbxyCT7ZJswqQ8xYtfkMozkGzCpKxQdFkQyK04iWqX5bHQbU+nRH4XYA2hu9Ee CCa1pKL7U4LocSac7CmViq7WJ3N75/hU3KWiS/pkNTylFJaKLumTPWPvO8ymllx0sU+2nA0ne1rl optbcT3XHgc2teSiO3zzR8McdXelehKe/OjDTdOvLa9T4wzOfxOnP39rx1mAv/t89Y6neek3SOMZ upuXf6EZ7bNlH63cx8kIryR0hw9O/n3M4WZvrjh4qJ4L9ysFXXS4cdaa93Y3H+5XArqaw53jaUva uHC/4tOdcbhxgTKLC/crOt3ZDje+H8KD+xWb7lyHG8/Xe/crMl0zhxvP12v3KzBdc4cbj9dj9yss 3eQOlyP3Kyjd1A6XG/crJN30DpcT9ysiXRqHy4f7FY8urcPlwf26QrdhGdmb/A+jjZmPO1pxuN67 X1foVpQ9FoS+2gsZw53+vLJj7pACvVK63u8Y7jsL8OUQJh3MuLhCt3RXw4fwcnMBaPu8A4x1379C Sx9jsXRvfeb/ZPLiQev9Nq5KfdaR1v4uH1z9yuK1mYq7Qhe2rTlyAzMcTWn7vFcNhXYNRUb1HOwW ynAzHMl01JYMPrTMjAQnOfn5lu3kL4YpWLjCBFF36Pp3R3D/YTiu7fO+6uvKbf53blu86snW/+HI Gwcy+PDwjpTfgLZoOU7omdJTAVi8zHhxd+hCuDKIF6zv8/5NSbSjLmJtI/3MHG58k4n73fKLFK/e Sna93AVwXE8FYMeDzZzPJbrwoGYt2j7vIRi/2BvZbyVqZOpw4/Gmdb+7T7c8BEYqAPNEN5QW7RZd 7XL0jIir/+lSS+mlG5QXiGJOONz4s6Vxv77fFVY+CHoqAPrLNJF0la6+z3tgw5p5ULyT9rKdcrjx 50vtfgua6+uMVAC0l2kq5xbdRi3Vjr7Pu//cEFn4RFWSOFzq7O2Yq6rvYP+6DYnuM6X73XQMwnoq AKqrTCbkFl2jddo+79qiMrqSzOHSZm/HXFW3C/dXf1zbnni+JO63lPQVfV1TRioAuutMIuUWXVsX mdzhJs/ebnRTMVsVyViFuapuFL8E80MkrUpCMXe/miRu+4/JnzMtHNOlcLgm2dvXxmWsOgpH9+Kd +BrwW25WKHq/mRDmli59Dzc+e3tzXMaqvZirCuCT6GBS/5m29ysjXQs93Pjs7fXN8RmrEE5fNFUS sLS93wzwZma784DZFqMdz1po1azs7aOJGauupYmi2Zsf/hsLJ7MgmhldqzuM0l9Yd3NP6sGARFVG 9vY5GatwPGPBmdTnRQfPaFl8ZnTpaVmVtOEO9WcVo5tqZKwiuaoKTh87l+L0Vl/SWWkJr3Qh7UyE ZNnb4zJWabmqNm1IQcT6SzoLeLmlC5BmIliy7O2xbqqesYrkqiJ91yTF3ks6ar4c0wVgPRHM/ks6 Sr5c08VRNYbzcFk6XIM+53TTu19KK0oUY+pwBaGb1v3apMvY4QpDl4X7Ze5wBaLrtPt1weEKRddR 9+uGwxWLrnPu1x2HKxpdZ9yvWw5XPLqZu1/3HK6IdDN0vy46XCHpZuJ+XXW4gtK1635ddrjC0rXj fl13uALTtex+3Xe4ItO15n69cLhi06V3v944XNHp0rlfrxyu+HQp3K9nDlcCuuncr4cOVwq6qdyv pw5XErrJ3K/HDlcauqbu12uHKw/dRPfrvcOViW68++XB4cpF96775cPhykbXcL8Q2w7S5pt4Z6vx PlvESmvJxLO720FaqclKVia6xP2y4mRPr1x07TFgV0vRZcdWrixKLDnZ061s1x43ulqKLh0ne1KK rj1udLX+P0YwNW4L1HA/AAAAAElFTkSuQmCC ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0010_image182.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/wAALCAGQAQ4BAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APX7L/jxg/65L/KpqKKK KKKKKKKKKKhuLqG1C+axy5wiqpZmPoAOTUa3NzJyti6r28yQKT+AzS+dd/8APoP+/o/wo867/wCf Qf8Af0f4Uedd/wDPoP8Av6P8KPOu/wDn0H/f0f4Uedd/8+g/7+j/AAo867/59B/39H+FNe7uIvmk sZCmOTEwcj8OD+VTW9xDdRCWCQOh4yOx9D6H2qWiiiiiiiszXv8AjxT/AK6j+Rq7Zf8AHjB/1yX+ VTUUUUUUUUUUUUUVn2wWTWLt5DmWIKkYP8KEZyPqc8+3tWhXP6xqF/b62tvHLNBam0Z1eO0M26Xd gLwD27cVnP4l8SNZzodCaC7S2duAX2uEyDgDBBPAAOauN4k1FYWYaYzKGYLN5cmyUhQcBdu4Eklc kY+U03/hKNUa3XZoM4udkjSQsHxGRIqoC23ByrFuPQ1N/b2pI/lzaYY2WWSMvskZZNrADbhSRuBJ BPHFTaLrWoalezQ3WlPaRou4MxPB3Y2njBOOeK26z2VIddTyeGnhYzKOhwRtYj15Iz3/AArQoooo ooorM17/AI8U/wCuo/kau2X/AB4wf9cl/lU1FFFFFFFFFFFFFVbq0M0sdxDJ5VxFkK2MhlPVWHcf ypBPeoQJLIPxyYpQef8AgWKX7Vcf8+Ev/faf/FUfarj/AJ8Jf++0/wDiqZPfzW9vLO+nzbYkLnDp 0Az/AHqS21Ga6tYbhNPmCzRq4y6dCM/3qk+1XH/PhL/32n/xVH2m4/58Jf8AvtP/AIqkaa/kVhFa RxHs00uce+Fz/On2totsGZnMs0mPMlbq5H8h6CrFFFFFFFFZmvf8eKf9dR/I1dsv+PGD/rkv8qmo oooooooooooooooorC8X+ItL8PaLI+qXDQLco8UTCNmBYqeOAcfjTvB+tWGueG7S406ZpYoo1hZj Gy/OqjIGQM/WtuiiiiiiiiiiiszXv+PFP+uo/kau2X/HjB/1yX+VTUUUUUUUUUUUUUVmy6ux1C4s LSxmuZrZEaQghEG7oAxPJwM49KsfaLz/AJ8D/wB/VpPtF5/z4H/v6tH2i8/58D/39WsLxpolz4r8 LXelGxCyuN8DtKuEkHIP9PoTVrw3ps3h3w9ZaTBYfLbRBWPmr8zdWP4kk1p/aLz/AJ8D/wB/Vo+0 Xn/Pgf8Av6tH2i8/58D/AN/VojvW+1LbT27wvIpKEkMrY6jI71booooooorM17/jxT/rqP5Grtl/ x4wf9cl/lU1FFFFFFFFFFFFFY+m/8jJrX/bD/wBANbFBOBmsu08SaRexRPDfRZlQOqMcNgjI4PQ4 BOKLfxJpNzM0Md2u9F3PngKNgfr/ALrA8Uq+ItKe6+zR3SyPhc7eQNzFQM+uR060869pIV2OoQAR ttbL4wcZx+XNXkdZEV0YMrDKspyCPUU6qd1/yEbH/ef/ANBNXKKKKKKKKzNe/wCPFP8ArqP5Grtl /wAeMH/XJf5VNRRRRRRRRRRRRRWPpv8AyMmtf9sP/QDWxQeRiseDwro9vAsMdswRXSQDzGPzKpVe /oab/wAIlo+SRDIP3QiAEzDC7FTjnrtVRn2ptv4P0W1meaK3cSySLKzmVsl1OQ3XrmlPhPTHt0gk +0SrGSUaSZmZc/e5PPPfNa8EMdtBHBCgSOJQiKOwAwBUlU7r/kI2P+8//oJq5RRRRRRRWZr3/Hin /XUfyNXbL/jxg/65L/KpqKKKKKKKKKKKKKpS6d/pT3drO1vNLjzCFDLJjgZB9B6EU/yb7/n8j/78 f/ZUeTff8/kf/fj/AOyo8m+/5/I/+/H/ANlUN2b+2sp7gXUTGKNnAMHXAz/epLFr+70+2uWuolM0 SyFRDwMgHH3qn8m+/wCfyP8A78f/AGVHk33/AD+R/wDfj/7Kjyb7/n8j/wC/H/2VNhsWW5Fzc3L3 EqghAVCqmeuAO/uSauUUUUUUUVma9/x4p/11H8jV2y/48YP+uS/yqaiiiiiiiiiiiiiiiio5LiCK RI5Jo0d/uqzAFvoO9Q6r/wAgi8/693/9BNM0b/kB2H/XtH/6CKu0UUUUUUUUUUVma9/x4p/11H8j V2y/48YP+uS/yqaiiiquqZ/sm82syHyHwyMVI+U8gjpWfpOmxzaPZSyXV8zvbxsxN5JySoz/ABVc /siD/n4vf/AyT/4qj+yIP+fi9/8AAyT/AOKo/siD/n4vf/AyT/4qj+yIP+fi9/8AAyT/AOKo/siD /n4vf/AyT/4qj+yIP+fi9/8AAyT/AOKo/siD/n4vf/AyT/4qj+yIP+fi9/8AAyT/AOKo/siD/n4v f/AyT/4qj+yIP+fi9/8AAyT/AOKo/siD/n4vf/AyT/4qua8XfDWx8WyWTT6leQLab+PMMhbdjoWJ 2/d9KdaeA9O8PaVdG21DVZStu/Et6+37p/hGB+ldJo3/ACA7D/r2j/8AQRV2iiiiiiiiiiiszXv+ PFP+uo/kau2X/HjB/wBcl/lU1FFFVdT/AOQVef8AXB//AEE1Hon/ACAdP/69Yv8A0EVeoooooooo ooorifizb6q3gya90i+ubaSzPmTLBIV8yI8MDjrjOfwNaHw8t9Uh8F2L6xdSXF1OgkxJj92hHyqP ouPxJrpqKKKKKKKKKKKzNe/48U/66j+Rq7Zf8eMH/XJf5VNRRRVXU/8AkFXn/XB//QTUeif8gHT/ APr1i/8AQRV6iiiiiiiiikJCgkkADkk9qpnUDOStjCbg9PMJ2xj/AIF3/DNNfTftiFdSk+0owwYA NsWPQj+L8fypRpxth/xL5fs4H/LIjdF/3z/D+GKUagYCFv4Tbnp5gO6M/wDAu34gVcBDKGUgg8gj vS0UUUUUUUUVma9/x4p/11H8jV2y/wCPGD/rkv8AKpqKKKq6n/yCrz/rg/8A6Caj0T/kA6f/ANes X/oIq9RRRRVDWNYg0W1juJ4ppVkmSELCm5sseuO/4VCniPTHDssxZVPysil94ChiwC5OACM1pQzR 3EKTQuskcihkdTkMDyCKV3WNC7sFVeSzHAFVPt0lxxYQGQf89pMrH+HdvwGPegacJSHvpTdEHIQj EY/4D3/HNRX+u2WmXUdpMJPMdN4CpxjOOM4yfYZPtUn9taZv8v7dBvxnbv5xu2dP97j61Ws/FWjX 0bvDeLhH8shgRzgE/UfMORxU9rrenXt3NZxTjz4pHiaNhgkrjOPXqKedOWJi9lKbVjyVUZjP1X/D FH26W24v4PLH/PaPLR/j3X8ePeraOkiB42V1bkMpyDTqKKKKKKKzNe/48U/66j+Rq7Zf8eMH/XJf 5VNRRRVXU/8AkFXn/XB//QTWdBFqkvhjT10q6treb7LH81xCZB90dgwrkL7R/is2qW0g1yxntFmR pIrf9zlQwJB+UHGP9qu72az/AM97H/vy/wD8VRs1n/nvY/8Afl//AIqjZrP/AD3sf+/L/wDxVGzW f+e9j/35f/4qobiy1G6EYnbT5PKkWVN0D/K46H73UVUfw48iupg0xRJI0rbIHUlmGGOQ/cdfWryw avFCIoZdPjVF2oBbvhQOnG7pUCL5Lq2tI0sg6TH5oAfUKPu/8CH4mthXWRQ6MGUjIIOQaCQASTgD qTXN6hd6DqcS3F5Hem2uFWISBZFinVmAAOOCCW74yDxTza+EodQN9us0ukn83f5vO/aV6Z54B46Z BPWkg0nwxaTeTbXCwOFyVjvGU7doyPvdCFUn6A0iXnh2K4luraOSaSzneR3iV2CO8YLsT0xtx/Tm uggmjuYI54m3RyqHQ4xkEZHWnsyopZmCqBkknAFY7qs0jPoyPHITzMvywk+4PDfgPxFa8YcRqJSp fA3FRgE98CnUUUUUUVma9/x4p/11H8jV2y/48YP+uS/yqaiiiqup/wDIKvP+uD/+gmo9E/5AOn/9 esX/AKCKvUUUUUUUUVTbTkRjJZyNauTk7BlG+q9Pywfemm8mtxtv7f5OhmiBZD9R1X9R71Tj8OaV PbxKkk01rGVMEQuGMce0gjaAenA65psvhDSZvvrMdqlY/wB6T5Sk5Kr6DP8AIUo8IaKJTIbZiGUg qZCRkrtJ9c475qUaHpttb3BuHkdJ5ElmkuJS24pjbknsNoqz9tmueLGDcv8Az3lyqfgOrfy96VdO SRg95I104OQHGEX6L0/PJ96uUUUUUUUUVma9/wAeKf8AXUfyNXbL/jxg/wCuS/yqaiiiqup/8gq8 /wCuD/8AoJqPRP8AkA6f/wBesX/oIq9RRRRRRRRRRVSTTojIZbd2tpjyXi6N/vL0P86ja8uLJC19 EGiUZNxACQB6svUfhmhL24vkVrCICJxlbiYEKR2Kr1P44p8emxeYs1y7XUy8hpei/wC6vQfz96uU UUUUUUUUUVma9/x4p/11H8jV2y/48YP+uS/yqaiiiqup/wDIKvP+uD/+gmo9E/5AOn/9esX/AKCK vUUUUUUUUUUUVV1T/kE3n/XB/wD0E1SgkuoPC1nPZqjyRWsbmNwf3ihBlQexI6H1qhc+Jr/T7e3l udLeVrpDKkcZx5a8YjyfvPzntV7Ttde+1e5097J4fIyQ5JIODjnjAz1xmtiiiiiiiiiiszXv+PFP +uo/kau2X/HjB/1yX+VTUUUVV1P/AJBV5/1wf/0E1Hon/IB0/wD69Yv/AEEVeooooooooooorifi uNZh8HS3+jX01s9o26dYj/rIjw2fpnP0zWh8PF1f/hC7CbWrhprmZA6goF8uPHyLwB2AP4101FFF FFFFFFFFZmvf8eKf9dR/I1dsv+PGD/rkv8qmoooqrqf/ACCrz/rg/wD6Caj0T/kA6f8A9esX/oIq 9RRRRRRRWeqy3t/cK88iQwMqrHGdu7jJJPXv09qs/Y4v703/AH/f/Gj7HF/em/7/AL/41Ax09JfK e82yZxsN0wOfpup82mWtzA8E6ySRSKVdGlchgeoIzS/YraGLG6SONF/57uAoH404WcRGQ02P+u7/ AONL9ji/vTf9/wB/8aPscX96b/v+/wDjVdBLaalFAJ3khmRjtkO4oRjoevfofSr9FFFFFFFZmvf8 eKf9dR/I1dsv+PGD/rkv8qmoooqrqf8AyCrz/rg//oJqPRP+QDp//XrF/wCgir1FFFFFFFUbH/j+ v/8Arqv/AKAKvUVl32iJeatBfh0jeGCWLIjBbL7cNn1G39ayn0DV7eHdFeC5O5Abcu6xvhWBcktn cSQcAgfKOtZ8HhLXpLaKxvb8MggkjluGld2ffEUC7c4IDHPPp1rbsfD95aayL19VllhUH90c/MCA ApGcYGMit6iqVx/yGLP/AK5yf0q7RRRRRRRWZr3/AB4p/wBdR/I1dsv+PGD/AK5L/KpqKKKq6n/y Crz/AK4P/wCgmo9E/wCQDp//AF6xf+gir1FFFFFFFUbH/j9v/wDrqv8A6AKvUVR1qzn1DRL2ytpB HNPA8cbkkBWIwDkc1jaxo2r6t/ZzlIITaM++MXG4SApgHLRkdfUfjUM3hrUZJrorHbA3FrFFFKZ2 DWrKGyygKAeoPGORXVxqUjVWcuVABY9T706iqVx/yGLP/rnJ/SrtFFFFFFFZmvf8eKf9dR/I1dsv +PGD/rkv8qmoooqrqf8AyCrz/rg//oJqPRP+QDp//XrF/wCgir1FFFFFFFVZLHNy1zBO8EjgB9uC r46Eg9/egRXwHN3CffyD/wDFUvl33/P1D/34P/xVHl33/P1D/wB+D/8AFVDdtf21nPcC4gYxRs4B hPOBn+9SWMl/d2Fvcm4gQzRLIVEJOMgHH3qn8u+/5+of+/B/+Ko8u+/5+of+/B/+KpDFfEYF3CPc QH/4qlhsglybmWV5ptu1WfACD0AHA+tWaKKKKKKKzNe/48U/66j+Rq7Zf8eMH/XJf5VNRRRVXU/+ QVef9cH/APQTUeif8gHT/wDr1i/9BFXqKQkAZJwB3NM+0Qf89o/++hR9og/57R/99Cj7RB/z2j/7 6FH2iD/ntH/30KPtEH/PaP8A76FH2iD/AJ7R/wDfQo+0Qf8APaP/AL6FH2iD/ntH/wB9Cs3WfFGi eH44ZNU1CO3SdyiMcsCcZ7ZxUEviTQ9U0i7+waxZXBaB8LHOpP3T2zmtDRv+QHYf9e0f/oIq7RRR RRRRRRRRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKKKq6n/AMgq8/64P/6Caj0T/kA6f/16xf8AoIq9 RWP4sAPhq7BGQdgIPf51q7/ZOm/9A61/78r/AIUf2Tpv/QOtf+/K/wCFH9k6b/0DrX/vyv8AhR/Z Om/9A61/78r/AIUf2Tpv/QOtf+/K/wCFH9k6b/0DrX/vyv8AhR/ZOm/9A61/78r/AIUf2Tpv/QOt f+/K/wCFZus+C/D2vwRQahpsTRxSeYFj/d5OCOSuCRz0qB/BvhrSdLu3sdCsYpFgfEnkhnHyn+I5 Na+jf8gOw/69o/8A0EVdooooooooooorM17/AI8U/wCuo/kau2X/AB4wf9cl/lU1FFFVdT/5BV5/ 1wf/ANBNR6J/yAdP/wCvWL/0EVeorH8V/wDIt3X1T/0Na2KKKKKY0sanDSID6FhSefD/AM9o/wDv oUefD/z2j/76FHnw/wDPaP8A76FcP8W9J/tnwXNPaz4udPPnqEkxuXo4468c/hWl8PNPfRvBljDf XjTXUyedKZZdxUsOFGTwAMDFdN58P/PaP/voUefD/wA9o/8AvoUefD/z2j/76FKs0TvsWVGbGdoY E49afRRRRRRRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKKKq6n/AMgq8/64P/6Caj0T/kA6f/16xf8A oIq9RWP4r/5Fu6+qf+hrWxRRRRWBZ2NnceJtZae0glI8jl4wx+571qf2Tpn/AEDrX/vwv+FUtQ/s HTGhS5sbYPOSI1W3UlscntS/8U4Au6KwQsnmBXiVW24z90jPTnFQT3Xh2HToNRFhDLaXAUxyxWgY HcQF6DjJIFPMvhuOQxzw2NtIFDFJ40RgD7GpP+Kb2B9umbWYqDtj6jqP1H51NBaaHcySxwW1hK8L bZFSNCUPocDg1N/ZOmf9A61/78L/AIVnraW1r4utvs9tFDusJs+WgXP7yL0rbooooooorM17/jxT /rqP5Grtl/x4wf8AXJf5VNRRRVXU/wDkFXn/AFwf/wBBNR6J/wAgHT/+vWL/ANBFXqKx/Ff/ACLd 19U/9DWtiiiiisfTf+Rk1r/th/6Aa2KxNcNjcXEdnL9skuFjMnl2o3EIeMsD8p5HGeeOKpRaP4Xg vLdg4ilRFKxSyEHITClg3Ibb2Pp0qzLJ4dh0i20mfUY/s8aRSRBp/mKKQ6HPcfKOfQVFLbeHLy71 fVyzyyQQtBeMpbAUIGIA7/LjkVUa28Lauj38+6FZjlneQDzAwBIwCeMKCehFb2ltpcElxZ6fPG7+ Y08qK+4qXO7P45yPY1o1lS/8jdaf9eE//oyKtWiiiiiiiszXv+PFP+uo/kau2X/HjB/1yX+VTUUU VV1P/kFXn/XB/wD0E1Hon/IB0/8A69Yv/QRV6isfxX/yLd19U/8AQ1rYoooorH03/kZNa/7Yf+gG tiqN5pNte3C3DGaKcJ5fmwSmNimc7SR1Gaz77S9BspbdrpXVZZkSOLe7I8mNqkj1xxk1U03SvDFx dstsJZJjatEpkkk+aAZQhc9VGcZFXbdfDogubOC+t2ivgI5Ixc5DfIIxjnglVA464qVNN0bUbaJb R02242K9rLtKgjBBKnnIxVyw0yz0tHjsoRCkhUlQTjhVQY9PlUD8Kt1lS/8AI3Wn/XhP/wCjIq1a KKKKKKKzNe/48U/66j+Rq7Zf8eMH/XJf5VNRRRVXU/8AkFXn/XB//QTUeif8gHT/APr1i/8AQRV6 isfxX/yLd19U/wDQ1rYoooorH03/AJGTWv8Ath/6Aa2KKgurSK8jSOYEqkiyAA45U5H61VsdDs7C 4M8IkZthjTe+4RITuKr6DOPyFRxeGtMitY7ZInEcSRIvznOI2LLz9TUulaLaaMsq2nmYlILB2yBj pgdBWhRWVL/yN1p/14T/APoyKtWiiiiiiiszXv8AjxT/AK6j+Rq7Zf8AHjB/1yX+VTUUUVV1P/kF Xn/XB/8A0E1Hon/IB0//AK9Yv/QRV6isfxX/AMi3dfVP/Q1rYooooqlNpFlNdSXLRus0gAdo5nTd jpnaRTf7Gsv+nj/wKl/+Ko/say/6eP8AwKl/+Ko/say/6eP/AAKl/wDiqr6hpVrDpt1LG1yrpC7K RdS8EA4/ipmmaZay6PaTzvcF3t0d3a7l5JUEn71S29lpF20i21y8xjOHEd9I20+hw3FTf2NZf9PH /gVL/wDFUf2NZf8ATx/4FS//ABVOg0iyt7tbuOOQzqhQO8zuQpwSOSfQflV2iiiiiiiszXv+PFP+ uo/kau2X/HjB/wBcl/lU1FFFVdT/AOQVef8AXB//AEE1Hon/ACAdP/69Yv8A0EVeorH8V/8AIt3X 1T/0Na2KKKKKKKKKqaqcaTef9cH/APQTXKy/DvQ/EWjWb3r36u9tHny7x8fcH8LEqPyqx4V+Htj4 Thure11C/lhnkDhDMU2HGP4MZ7flW/8A2TB/z3vf/AyX/wCKo/smD/nve/8AgZL/APFUf2TB/wA9 73/wMl/+Ko/smD/nve/+Bkv/AMVR/ZMH/Pe9/wDAyX/4qj+yYP8Anve/+Bkv/wAVR/ZMH/Pe9/8A AyX/AOKo/smD/nve/wDgZL/8VVfww7voEBkkeRg8o3SMWYgSMBknk8CtaiszXv8AjxT/AK6j+Rq7 Zf8AHjB/1yX+VTUUUVV1P/kFXn/XB/8A0E1Hon/IB0//AK9Yv/QRV6isfxX/AMi3dfVP/Q1rYooo oooooriPi3oB1vwTPNFn7Rp5+0R4OMgcMPy/UCr/AMOdIOj+CrGGSczzyr50zl9+Gb+HPsMD8K6i iiiiiiiisjwt/wAi/D/10m/9GtWvRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKKKq6n/wAgq8/64P8A +gmo9E/5AOn/APXrF/6CKvUVj+K/+Rbuvqn/AKGtbFFFFFFFRzTxW8ZkmkWNB/ExwKqi7ubrizg2 If8AltOCB+C9T+OKdHp0e8S3LtdSjkNL0X6L0H86dNp8UkhmiLW8x6yRHBP1HRvxpnn3lrxcw+fG P+WsA5/FOv5Z+lWILmG6j8yCVZF9VPT6+lS0UUUUUVkeFv8AkX4f+uk3/o1q16KzNe/48U/66j+R q7Zf8eMH/XJf5VNRRRVXU/8AkFXn/XB//QTUeif8gHT/APr1i/8AQRV6isfxX/yLd19U/wDQ1rYq jq97cadYtewwieOD55o+jsmOdvbPsetZN14rk0x4Yb7T5GmlUSFYCMKrNgKCfvMO+MVf0LXY9chm dIGhaFgrKzBuoz1HFatRT3MNqm+eVY16DJ6n0HrVbz7264tofs8Z/wCWs4+Y/RP8cfSpIdPhjkE0 ha4nH/LWU5I+g6L+AFUdX1/+yrgxm0aSNIvNkm34VBkjBODjpnLYHvTV8W6O94LJZ2NwTt8oISd2 7bt+vfHpz0qGy8YWd7N5UdtcFmjjePYm7zNys3y/QKeuKn07xNZ6hetaCOWJzNJFEzr8shQAnB+h 6e1aE9hBPJ5uGim7SxHa34+v0Oaj8y+tf9dGLuIfxxDDj6r0P4flU9vdwXSloJA+Oo6FfqDyPxqa iiiisjwt/wAi/D/10m/9GtWvRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKKKq6n/wAgq8/64P8A+gmo 9E/5AOn/APXrF/6CKvUVU1TT01TT5LOSWSJZMZaPG4YIPcEdqj+w3v8A0F7j/v1F/wDE0fYbz/oL 3H/fqL/4mj7BeEgnV7jI6fuouP8Ax2gWF4Omr3A/7ZRf/E0fYb3/AKDFx/36i/8Aiaght5tOlMs8 BvT3uV5lA91P/sv5Vo291BdoXglVwODjqD6EdQfrUjMEUs3AAya5m81WwudNGtXuiLLai3aeGWQx s7IBuAwTkZHOOffFWDrXh5W+1mJA/E6SfZjufOI96nHPULn0I7VWuNY8HIFFxHahPLQEtbcIOdqn jjqcD396kOtWFubqSDRyn9nygMzosW0ugOQDzlshcAZJ4roIJfOgjlMbx+YgbZIMMuR0I9aJ7iG2 jMk8qxoO7HFZ1xFJqbBoLYwEfdu5Mo4/3VGCfxwPY1pQo0cKI8rSsoALsACx9eOKfRRRWR4W/wCR fh/66Tf+jWrXorM17/jxT/rqP5Grtl/x4wf9cl/lU1FFFVdT/wCQVef9cH/9BNR6J/yAdP8A+vWL /wBBFXqKKKKKKKKrXFhBcOJCpSYdJYztcfiOv0PFRb7+0/1ii8iH8SALIPqOh/DH0qvbaboVyZWh srdmdSsqNHggHqCp6Z78c1Ouh6WolC2ECiXh8JjPOfw554oGhaSChGnW/wC7Xao8sYx9PxP50z7F o+lQMPIggSR1cgDl3GMHHUkYGPpUnm313/qIvssZ/wCWkwy5+idvx/KpINPghk85t00//PWU7m/D sPwxVqiiiiisjwt/yL8P/XSb/wBGtWvRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKKKq6n/AMgq8/64 P/6Caj0T/kA6f/16xf8AoIq9RRRRRRRRRRUFxZwXRVpE+dfuyKdrL9COarSyXmnRPK7C7t41LMTh ZVA6+zfpTYrm71G3S4hxZ20iB1d8NIVIz06Lx65+lWbaytrd96/vJmHMsjbnI+p7fTirNFFFFFFF ZHhb/kX4f+uk3/o1q16KzNe/48U/66j+Rq7Zf8eMH/XJf5VNRRRVXU/+QVef9cH/APQTUeif8gHT /wDr1i/9BFXqKKKKKKKKKKKq6p/yCLz/AK93/wDQTVGOzbUfBiWKuI2udPEQcjIXdHjOPxqjF4Wu 7T5LPUtkMdusUMTKcphgzLuBzsOMY6jPBxxWxpNnc2NkYrq5Nw5kZgckhFJyEBPJA6ZNXqKKKKKK yPC3/Ivw/wDXSb/0a1a9FZmvf8eKf9dR/I1dsv8Ajxg/65L/ACqaiiiqup/8gq8/64P/AOgmo9E/ 5AOn/wDXrF/6CKvUUUUUUUUUUUVxnxR1PW9G8JvqGjPGFjbZdK8YfMbfLkemCR+dXfh5earqHgyx vNWWJJJUBiSJCuIgMLnJPJAz+NdNRRRRRRRRWR4W/wCRfh/66Tf+jWrXorM17/jxT/rqP5Grtl/x 4wf9cl/lU1FFFVdT/wCQVef9cH/9BNR6J/yAdP8A+vWL/wBBFXqKKKKKKKxYWvLzXdTtzqM8UNv5 XlpGkfG5cnkqSeau/YLj/oLXn/fMX/xFH2C4/wCgtef98xf/ABFH2C4/6C15/wB8xf8AxFQX2h/2 jYz2V3qV3Lb3CGORCsXzKeCPuU+HSpLeCOCHVLtI41CIoWLAAGAPuVJ9guP+gtef98xf/EUfYLj/ AKC15/3zF/8AEUfYLj/oLXn/AHzF/wDEVWie6tvEMNm97LcQy2kkpEqpkMroBgqo7Ma1qKKKKyPC 3/Ivw/8AXSb/ANGtWvRWZr3/AB4p/wBdR/I1dsv+PGD/AK5L/KpqKKKq6n/yCrz/AK4P/wCgmo9E /wCQDp//AF6xf+gir1FFFFFFFY+m/wDIya1/2w/9ANbFcrd6Fq02oajJbmOJ7i4SS2vTO26BQqgj ZjnlW4zg55qSCx8VLHJ598kmWTKKwUtjO7a235c/Lx7HpSyad4mMUsi6jh2lXbEHBAiCDIB2j5t2 TnuPSn2+meImkQ3mrcDyg3kkDICnzP4epOMfTtVSfTfGjRhYtWgEgiAD4GM7SDkbeSWwc1ej0vWU 1q2nkvnmtIJ5cIZcExtGuNwAwxDhvwIrfrKl/wCRutP+vCf/ANGRVq0UUUVkeFv+Rfh/66Tf+jWr XorM17/jxT/rqP5Grtl/x4wf9cl/lU1FFFVdT/5BV5/1wf8A9BNR6J/yAdP/AOvWL/0EVeoooooo orH03/kZNa/7Yf8AoBrYorJ8RadLqmlyQ2jlbpWUowlZNvzAtyPVQapS6dr8d3OljdLBaZcxYYM3 +qQIDuB43hs+1MvLbxapZbS8idNxKFgu8ZVcZ4A2ht3HXBFa2jQ6lDY/8TW4866d2LbQNijcdoXA HGMdc1oUVlS/8jdaf9eE/wD6MirVoooorI8Lf8i/D/10m/8ARrVr0Vma9/x4p/11H8jV2y/48YP+ uS/yqaiiiqup/wDIKvP+uD/+gmo9E/5AOn/9esX/AKCKvUUUUUUUVj6b/wAjJrX/AGw/9ANbFIwL KQCVJGMjtXMQ+HNRt7aCKF7KOW3Xabtd4muORncf4d3c/N14pZdG8SeS6w6wimRHQBnY+RlwykNj LELlcnHWkvPDer3FxbSjV3ZY7tp5I2lcK484NGox0wmV96B4f8QPfq82vSG287dIiOymRck4GANv GBwa6iisqX/kbrT/AK8J/wD0ZFWrRRRRWR4W/wCRfh/66Tf+jWrXorM17/jxT/rqP5Grtl/x4wf9 cl/lU1FFFVdT/wCQVef9cH/9BNR6J/yAdP8A+vWL/wBBFXqKKKKKKKzP7Nu4dTu721u4VF0E3JLA W2lRjghhU3l6t/z92f8A4Cv/APHKPL1b/n7s/wDwFf8A+OUeXq3/AD92f/gK/wD8cqG7bVraznuB dWTGKNnx9mcZwM/89KSxk1a7sLe5NzZIZolk2/ZnOMgHH+sqfy9W/wCfuz/8BX/+OUeXq3/P3Z/+ Ar//AByjy9W/5+7P/wABX/8AjlRRafeHV4tQubuB/LgeERxwFchipzksf7o/OtKiiiisjwt/yL8P /XSb/wBGtWvRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKKKq6n/AMgq8/64P/6Caj0T/kA6f/16xf8A oIq9RRRRRRRRRRRXI/EnxHf+GPDLXtpYx3cUjGGfe5HlhgQDx78flVvwFrFxrvhCyvp7H7GpQRxK X3F1UBd3QYyQeK6Oiiiiiiiisjwt/wAi/D/10m/9GtWvRWZr3/Hin/XUfyNXbL/jxg/65L/KpqKK Kq6n/wAgq8/64P8A+gmo9E/5AOn/APXrF/6CKvUUUUUUUUUUVXnv7aB/LaTdL2jjBZ/yHNZ2s6dL 4k0i60u4gW3tbqMo7S/M49CFHAIPIyfwqWxhn0awgsktFltraMRobfghQMDKH+hNXYL23uWKxyje OsbDaw+qnmp6KKKKKKKyPC3/ACL8P/XSb/0a1a9FZmvf8eKf9dR/I1dsv+PGD/rkv8qmoooqrqf/ ACCrz/rg/wD6Caj0T/kA6f8A9esX/oIq9RVTUrqaysnuoYRMIvmkTdglB97b747d6x5vF8VlBDNe WcyC4QzxIg3MIuAC3bcc52jOKvWHiC11DVJ9PjjkSWHJ+fHzAHBI5rVooqOaeK3j8yaVI09XOBVX 7fLOP9CtHkHaSX92n6jJ/AU77HPPzd3TEf8APOH92v4n7x/OrEFvDbJsgiSNfRRjNSUVFPawXShZ 4Vkx0JHI+h7VB9luoObW6LL/AM87j5x+DdR+OaT+0DBxe20kAH/LRfnj/Mcj8QKtRyxzIJIpFkQ9 GU5Bp9YmpeJ4NL1d7G4t3ESWone53DYhLEKregJU/N06VJp3iWx1F7aOMSI10G8slflZlGWUH1H4 dK16KyPC3/Ivw/8AXSb/ANGtWvRWZr3/AB4p/wBdR/I1dsv+PGD/AK5L/KpqKKKhvIGubKe3VwjS xsgYjIGRjOO9UrSy1Wzs4LVL2zZYY1jBNs2SAMf3/apfL1f/AJ+7L/wGf/45R5er/wDP3Zf+Az// ABygxasRg3VkQf8Ap2f/AOLpj2moybd82ntsOV3WjHafb5+KFtdSR2kSewV3+8wtGBb6nfT/AC9X /wCfuy/8Bn/+OUeXq/8Az92X/gM//wAcqKeHWmUBLu1xn5gkJRiPZiWA/I0yA2NtKr3cMkNx0Et1 8/5PyB9Bj6VqghgCCCD0IrM8RaSdZ0ae0j2LOy4ikckbD65HIqjNoWqC+l+xXy2lkzEpHEdpA8ra B0/v/N/nFRXWkeJtpW21j5CQcM2GB2AE7tvTcCce/wCFH9l+KfKK/wBrR+cEO6XPEzblIwu393wG BxnOaX+xNXur77TqE6SxkTj7OJ22KGZGiBAA3Y2kH6962dIsDpunR2zStI4yzsTxuPJ2jsueg7Cr jMqKWdgqjqScAVky/ZLiRnsIJXmP/La2Plrn3bo361LDFrYT95eWZOeMwMxA9yGAJ/AUPZX8jO0k mnO0ieW5azYll/un5+RyePeo4tLuoJzPD/ZccpG0ulkQ2PTIf2FWPL1f/n7sv/AZ/wD45R5er/8A P3Zf+Az/APxynaTYHTNNjtDL5pQsxcLtyWYt0yfWrlFZmvf8eKf9dR/I1dsv+PGD/rkv8qmooooo oooooooopCAwIIBB6g1UOmxIS1q72rHn90flP1U8fpR5t9b/AOugW5T+/B8rf98k/wAj+FSQX1tc MUjkAkHWNwVcf8BPNWKKrTX9tA/ll98v/POMF2/IdPxqPff3H3I0tEP8Unzv/wB8jgfmfpTl02As HuC9045BmOQPovQflVuiiiiiiiiszXv+PFP+uo/kau2X/HjB/wBcl/lU1FFFFFFFFFFFFFFFFRT2 0F0m2eJZAOm4dPp6VB9kuYObS7bb/wA85/nH4H7w/M0n2Kaf/j8uncH/AJZw/u0/Tk/nVmGCG3TZ DEka+ijFSUUUUUUUUUUVma9/x4p/11H8jX//2X== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0013.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
The Mi= cro controller  development kit w= e are using
this is the microcontroller
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0013_image183.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAgEASABIAAD/4RP/RXhpZgAATU0AKgAAAAgABwESAAMAAAABAAEAAAEaAAUA AAABAAAAYgEbAAUAAAABAAAAagEoAAMAAAABAAIAAAExAAIAAAAUAAAAcgEyAAIAAAAUAAAAhodp AAQAAAABAAAAnAAAAMgAAABIAAAAAQAAAEgAAAABQWRvYmUgUGhvdG9zaG9wIDcuMAAyMDAzOjA0 OjIzIDAzOjAwOjMwAAAAAAOgAQADAAAAAf//AACgAgAEAAAAAQAAAfigAwAEAAAAAQAAAXgAAAAA AAAABgEDAAMAAAABAAYAAAEaAAUAAAABAAABFgEbAAUAAAABAAABHgEoAAMAAAABAAIAAAIBAAQA AAABAAABJgICAAQAAAABAAAS0QAAAAAAAABIAAAAAQAAAEgAAAAB/9j/4AAQSkZJRgABAgEASABI AAD/7QAMQWRvYmVfQ00AAv/uAA5BZG9iZQBkgAAAAAH/2wCEAAwICAgJCAwJCQwRCwoLERUPDAwP FRgTExUTExgRDAwMDAwMEQwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwBDQsLDQ4NEA4OEBQO Dg4UFA4ODg4UEQwMDAwMEREMDAwMDAwRDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDP/AABEI AF8AgAMBIgACEQEDEQH/3QAEAAj/xAE/AAABBQEBAQEBAQAAAAAAAAADAAECBAUGBwgJCgsBAAEF AQEBAQEBAAAAAAAAAAEAAgMEBQYHCAkKCxAAAQQBAwIEAgUHBggFAwwzAQACEQMEIRIxBUFRYRMi cYEyBhSRobFCIyQVUsFiMzRygtFDByWSU/Dh8WNzNRaisoMmRJNUZEXCo3Q2F9JV4mXys4TD03Xj 80YnlKSFtJXE1OT0pbXF1eX1VmZ2hpamtsbW5vY3R1dnd4eXp7fH1+f3EQACAgECBAQDBAUGBwcG BTUBAAIRAyExEgRBUWFxIhMFMoGRFKGxQiPBUtHwMyRi4XKCkkNTFWNzNPElBhaisoMHJjXC0kST VKMXZEVVNnRl4vKzhMPTdePzRpSkhbSVxNTk9KW1xdXl9VZmdoaWprbG1ub2JzdHV2d3h5ent8f/ 2gAMAwEAAhEDEQA/AOM2pbUf0ipU4l99zKMet111hiupgLnOP8loWvQXW1tqW1dr0z/Fl1TIDHdS yaun75LaQBbaY/tMqb/Zfatj/wAafpQZ7uoZW/8AeioD/N9L/vyhOfCDXFflqi3zLarPTul5/VMt uH0+l197huLRo1re9t1jvZVX/Kcur6n/AItsqglvS8+nOtguGLYRVaQD6Z2EOfW/a8bXbvSXafV/ 6vVdE6W3Dwiw5Vm12TlOBIsskeo4wWu9Opm9mNV/6kTcnMYxG4HiJ/l6kkvM9M/xWYrGB/Ws11ln enFIrrB/dORc31bf7DKFqH6ifUZrQw0EudEPGVcTqdrJLLTW3e76G5bmX03Oyg1uRZj+gNSw0+pD tvpl/wCkd/Kf/wATv/wqrYvT7Lci1jnPwBd7zW11YscCTo8NFjnbfc/7R/Ob/wDi1TlmyE/MR5aI eb6l/iqwnsL+lZluPZ2qyR6tZPZvqsFd9f8AX/Trheq9H6j0fLOH1Gk028sM7mPb/pKLR7bGf9Nn +EYxe4t6XS25t5ste9n0d9jiASCx3t/lblT6/wBBxOtdPfgZYj87HvAl1Vg+hbX/AOjK/wDCVqXF zMgan6o/85Vvh+1LarmVgZGHlXYeSA2/Hea7AOJb3b/Id9NiF6RV4AHUKtBtS2o/pFL0ijQTaDal tR/SKXpFKgi3/9Dn6ca2+6uihhsutcGVsHdx4Xds6ZT9WcGunGtI6hlNd9py2gS7bt30Mc8bqMev f+jsqf6vqLI+peKw59ua8T9lZtq8n2abv7Na6259VwYLRvFbvUa08bgC33D876Sv5ZEyA/RG4/eW SlpWzmdGy8hvUKayDkMc8vLHndtcfc/KZv8AoWM+lY//AAn/AFxXWUE5oc/Htc1tjoyLbSXMAJdU +hsM/O+h/O+nV+jehu+x0Oc+ljKnPb+kcJ2tawh24VtI/P8AzWfTVTG6/i5Vzqse97rG6j1A3a7/ ADGt2/2FFkxDIQaqlY8koA11dymrDx7DZRU1lhBBfJLjuO+z6Rd9N/verFGaK7QXH2OMO8p/OXN5 XVbm2gMeWN2tdt2hx5LXhzv3dE1fVzZtY9sPdoS0jb859zPd+Z70BiG1UtOQ7k3+Ls/XTrGR03Ar rxXGu7Kc5psb9JtbGl9npO/Mte706mv/AMH6nq/4NebPdU7I22Ma61zhueWNd7z4vsDr3/8AG+p6 i9I6n05vXOj47XvDLw1ttVjhI3FpqtZZHv8ATuYX/R/m37Lvf6a43K+rvUcXKZVbSHW2GK7GbX6a 7bHvFjW1t2sftturr/m7P9FYjgljiCJUJX1ZHpvqV1jOvxsrDtJybMdgtxRY8l2psrfjOvs3vdW2 2r9Fbb6lnp3+n+k9FdHh2Z9rH/b6WUmRsax+/SPdudDfouWP9Xehno2I6y17X5WVtn0yXMbWzc6u tlhDPV99tl1l2yve+z/R11qx1LrluE+qtjWPc5pe8OnidrdW/R9zf3VXnwmZ4flvRIeG/wAYOI2n 6yve3/tRRVa74jfRP+bS1c3sXTfW/wC1Z/VLs/0/0GMxmPbE7a3s3Osr9T8/32LnyACA72lwkB2h jx2u+KvYMkDCI4gSBVXqhDsS2I+2fNLaplWg2JbEfaltSVb/AP/Rl0LK+zYeS7e2v9IyXO1ABG0d 2qwOq0XV1j7VZa496WOcTroXFns/6So9Ghr3vsc1tFpFI3AEG3+cr5/NY3+cs/MWu6xzZYZaW6Fv ER2hXyIynIWLGkh5sMzKJvvqDp/dWZkfamOLqramERFrdhIPu9rJP0PzlWw+mY2HabWOc90Qzdw0 FH3kkAAuJ0AGpJRm4eW5heK3gj8w1OaInbL8mwsqa/8A4L9z/CpxkI1Z+Y0siJEEDYCy1s0O9I21 sdbZWPbW2AXGf3nbtu3d/o3oWBZXlgxSRkVuaw1h+8bzqxtb2Nq3/wCYiZNTXtsxrwHNPtsa1wI/ s2Vn/qHIWOcE3v6dS0Py/RJZisbLnMYHWOqb9Hdayp1r6KN+/wD4r9Gm5Dw3PeIFnqqMeL09Xssr J/ZmCwmqzJNDa6fToG55P0HOa07fa13uesnL6rfkRZiYmVjvf7b3NNLXO2+m6rd6ot91Tt/2R9Hq +n+lfZX+mWfRk9aqNNWBk057LK234mHnj1PUqcdu/AzrXVX7q/oXYmbd69H/AAns3xf1/qLXenZ0 yrHuZ9JvqWsOs/Tqe1UxjlPWNS678Mv8WTa2dwdV6rZ6bMbAoqYCGkPse4taDJ2UYlJ3/wAl1bvT XKfWbrmUzruY/H9J9WI9lNLL6nRFQY3Kubl1Da30sm/a/e/ZX/xiPkdY60+h7RczCoa0uf8AZmlr y1oLjvyrDbf/ANtekuYo6q2u3Frx7b95bV61V7Wmv1C/7bbW1m/dZR6vo+l6v6SxCeOUALIs9FAu 70+/qGd1TJxrLbg0UV3ur6exr3Wje1lOVW12yl/pXXevc+1l1lv/ABdf6Ohldbysx9+VfaXnHaKK 89lbdlzWBzWbxV/pHP8AX9Njv8J/o2KvX1bqOLSxmTdfssDiwWEZDDJ23NZVkfoK2t/wlP8Awihj vffRXc3Gfjtttcx12ExtTXNqb6llvo++mvZXt+1PZXsUGSETR4QSNLrXh/S/6LLgIE74uHQ1/ePp /q/9JmXNc2ilpovcG7y5g+zv930W+l+77vz/AP1Gp5FQr+x1Uscb76Lci1jnt9oH8y3e+Ge3a/8A P96FZZ9syHNL67/WLGNFzC17m2e76W3fd6jbH3M3/wA56auZ5xndSfbjH1rqGCp1DmTLmyKKmCwt bax/pf4L1K//AEZJjlKIFSI70aW5SDORFVdDyj6f63/Sc4XPLd3puc0cvDXRP530PW+giV2MsMN5 8JB5abfzC7/Bt3+5E9TIYfT2suGsAW7bCOH/AKDNa5v0jt/RJ2ssNzQ6r0W0VGtrHta20F7tfW9P 6f6Ov9Ha/wDwas4s+QyESbs9QxkB/9KfRMavJ6WwPID8W93qyQ0B7zY1jLHO/mmZeLf+rZD/ANF9 rp9K1BsxOvdBBZl0vzenNPsymg72D93JYdz6H/8AHfov9BkWIdFmRi3/AGnFeGW7TW8OG6uys/So yKj7banLd6b1zFG2qvIv6Rfo1mO93rYjj4Y1lzbH0/8AE761ZkMmGc8kY8cJnil+9FWkhRczF6xg uc21lsOaZaHS2CO+4fuqzb1zF1Js3kjUSXE/5rV0B6G/PabbsfCygTpf6IY93YuFuPcyz2x7t+xZ 2fhfVzpI39Rw3UMkDe2rMtYSfot9Wuw0e7/jUo81CRHomSf3RxLPZHf8XnczquVfIxmlhOhueBMf yGfRb/bVz6mfV7Nt6qzqrAXMxPUeLXkw+5zH1Mr3/n+6z1L1pU/WD6p1CMLpD8qzs448D/2Ze53/ AElZf9YutZjRVj019Pq4BMW2Af8AB0gfZ6/+u/aU+WScomMcZjxCicnp0/urhERRfWDp4xsHpXT8 TI/X8DdaLxpo76W8fmsyLf8Az0jW9Swer4rW5TPR6tUDuYIGjY9Sze76eN7vof4NZ1+Zg4G92Ta+ /JPusY0+rc4nTdc4+2v/AK45n/BqvV13peRYB1HCfQWn2XNAugOEe/2ss9zD79rLVH7J4Y8J9UNp f9z/AHV2p6aK64yvH6W8uf6YyHsoa8AO+md1hY2Q1/6Guz85c4++7Iey20Nsoc65zcoN2737hZfs P+E9n2b3f6P0l0n1lqyM3Gxn9JxR1PDqqu/mjqy6xraKLHUSy/8AQ0+v/g/5x65puRRXm11ZT3Y9 LDvGNkNNIqa6LsjHx67fpfpGej6n08j+cUWbiMrI2UGWe2ysNoIbXjba6i5w5fSfXuYx0P8Ab6+Z sv8A+JU/QFFuVTj3RXW2z0XMFjN3q7Kbm7qnZbam7W+x9tP+j/PSqa4tyeqDKosdjelk20Eu9V1m Ruvux8WoM3P+yvt/SWoWNlPoOQwhj2l1YY0mHHb+sXbt25ravW9L8z/txRhKdvUMxzKMm5hcL73W AvDHg2Nrbjtm6l26h1NLP0LLK/8ASprMd5seawKWvLR7DuA2BoY/ZY0eo9u3+b3Vs9P+WiDIryHU 17PfSxv6TRwMy5+y/wBtn84Nmz0alDqF5xqK7K2h1z3EMB4g/o/bt+j/AIX3M/8AJpKXtdlU1CbB Yxv86LRLYa71NrKiy5rtjf8ABMZYrZtx2111N2jYDvDW7RvJl/572P8A+Nr9L1P9DWi4jHNax73Q 5/ucBoAGnY3Zq727Wer/ANQsiyu2+9z2gh1ji6B2k7lY5WNzJ/dH4laX/9N/RTGhrgWuEtPIOoV/ 0fJL0fJaHEtauFdm9PP6lca2AbRXJaAJc/6bPpe57v5z1FoW/WLqt7a6cittuOP6QCWhzvdMiBWx 21n7/wCep43ScjJb6g21UAw6+ww0f9+WxR0HEoqc+uxj8lkH1LxLBxq2r6Dfpfzv6ZV8gw3ZFy/q 6fbwrhbjDEzcsWZuNgu9JxJZTTtAgfm17/T3/wDCOqZ6e9Y+Zb1dwAcfslbiR9mqcBd7d2/7RZPq MbvZ6f8Agl6E92Z6ddQa2jKsfDHbHXVOawbvfs2egy3839J+jVLIoxurXsq6hVVT6gih4MveACS7 Dza9vqf6T03/AOD/AJzFTfeN6ih4er/pJiB1fPmjqTDUyrHbW2w/SI3jzNvp7WsV/DqtFx+31NND YNpqaXA1/n82Vurf/o1s9W6Bm9K3XsaczBGrrGN/S1tHe2pn84xv+lp/7arVSm3Huqa4lrqniWWk gsP9pOMTKJ9XFe0vl4f+9kyDzZYtf2auqyuwNJJFR3APLeWl4b/N7v8ARvWzXlYmZUas1jLWDR4c 0OAPhbQ8O2/2FWxujZV+wPa2rGiN7++s7qWN9130vpfolrYXS8PBIfS0vuAgZF0OsAP5lf5lTP6q BlpqbktkQ5GX9Sfq/kNcGY5xS+NxxnOqn92a2fof/All5f1Fzg5r8HPBDZmq+sQ4Ebdjrat232/u 467WJ1OpPJS2puh3Cx84t6B13Gsfbk4QtJgbsIMc0Na1lbf0DfRt/M32baP0lizMhrMrJqaLmsuo I247w6t8t8WWj1d+7/g16yWg86oOVgYeYz08uiu9h/NtaHj/AKYcmmA6aKt8/ne4YtTIB20MnlrY 2e7/AKy1y3umdBYwC6xsu5AWnR9VujY2U3KxqTS9k7WNe/0xuG1zvQc51TXbf3GrT9JoEAQE+B4A QOqjq//U3vR8lGzGFjCwyJ7jlXdrfEfen2t8R96t8S1xMfBz+mWG3AteWyTs3Q4SdzvTsd6jdjnf 9p767sf/AIlbHT+v1PIozG/ZrQZ9RoLG8bf01Mv+zf8AhnFdfjf6f/QqW1viPvQsivDcA3ILIJ9u 4gHd22H99MnGMtdj3H7QkF3MeyLW02AuqtbAYNrq3Aj+cY0DZZX7vdbj/wDoRi1/zqn9jzcO5run uFmO9824tpIDd20b8a73ek1v6S6yt1dvqv8A9EsXBGZhtbZhEZWKX6Y9urd4P/ae/wB3p37lt3Zm a/249D6geXvbLv7LNW/5yj4ZA9P2JtuXX1UCbHRPA5J/qtWNX07pjM23NxsRtNtxDnnkFw/wwx/6 NXkO/Pv2eqlDhYfUJNv52/6X4qxWiBw9d1WlAkydSeSdSnhM1ECCGO1LapwEoCFqYbUtqnASgJWl htS2qcBKAlan/9n/7SUoUGhvdG9zaG9wIDMuMAA4QklNBCUAAAAAABAAAAAAAAAAAAAAAAAAAAAA OEJJTQPpAAAAAAB4AAMAAABIAEgAAAAAAtgCKP/h/+IC+QJGA0cFKAP8AAIAAABIAEgAAAAAAtgC KAABAAAAZAAAAAEAAwMDAAAAAScPAAEAAQAAAAAAAAAAAAAAAGAIABkBkAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAOEJJTQPtAAAAAAAQAEgAAAABAAEASAAAAAEAAThCSU0EJgAAAAAA DgAAAAAAAAAAAAA/gAAAOEJJTQQNAAAAAAAEAAAAHjhCSU0EGQAAAAAABAAAAB44QklNA/MAAAAA AAkAAAAAAAAAAAEAOEJJTQQKAAAAAAABAAA4QklNJxAAAAAAAAoAAQAAAAAAAAABOEJJTQP1AAAA AABIAC9mZgABAGxmZgAGAAAAAAABAC9mZgABAKGZmgAGAAAAAAABADIAAAABAFoAAAAGAAAAAAAB ADUAAAABAC0AAAAGAAAAAAABOEJJTQP4AAAAAABwAAD/////////////////////////////A+gA AAAA/////////////////////////////wPoAAAAAP////////////////////////////8D6AAA AAD/////////////////////////////A+gAADhCSU0ECAAAAAAAEAAAAAEAAAJAAAACQAAAAAA4 QklNBB4AAAAAAAQAAAAAOEJJTQQaAAAAAANdAAAABgAAAAAAAAAAAAABeAAAAfgAAAAUAHQAbQBw AF8AOAA1ADIAOQBIADUANwAzADgALQAzADAAXwBuAHcAawAAAAEAAAAAAAAAAAAAAAAAAAAAAAAA AQAAAAAAAAAAAAAB+AAAAXgAAAAAAAAAAAAAAAAAAAAAAQAAAAAAAAAAAAAAAAAAAAAAAAAQAAAA AQAAAAAAAG51bGwAAAACAAAABmJvdW5kc09iamMAAAABAAAAAAAAUmN0MQAAAAQAAAAAVG9wIGxv bmcAAAAAAAAAAExlZnRsb25nAAAAAAAAAABCdG9tbG9uZwAAAXgAAAAAUmdodGxvbmcAAAH4AAAA BnNsaWNlc1ZsTHMAAAABT2JqYwAAAAEAAAAAAAVzbGljZQAAABIAAAAHc2xpY2VJRGxvbmcAAAAA AAAAB2dyb3VwSURsb25nAAAAAAAAAAZvcmlnaW5lbnVtAAAADEVTbGljZU9yaWdpbgAAAA1hdXRv R2VuZXJhdGVkAAAAAFR5cGVlbnVtAAAACkVTbGljZVR5cGUAAAAASW1nIAAAAAZib3VuZHNPYmpj AAAAAQAAAAAAAFJjdDEAAAAEAAAAAFRvcCBsb25nAAAAAAAAAABMZWZ0bG9uZwAAAAAAAAAAQnRv bWxvbmcAAAF4AAAAAFJnaHRsb25nAAAB+AAAAAN1cmxURVhUAAAAAQAAAAAAAG51bGxURVhUAAAA AQAAAAAAAE1zZ2VURVhUAAAAAQAAAAAABmFsdFRhZ1RFWFQAAAABAAAAAAAOY2VsbFRleHRJc0hU TUxib29sAQAAAAhjZWxsVGV4dFRFWFQAAAABAAAAAAAJaG9yekFsaWduZW51bQAAAA9FU2xpY2VI b3J6QWxpZ24AAAAHZGVmYXVsdAAAAAl2ZXJ0QWxpZ25lbnVtAAAAD0VTbGljZVZlcnRBbGlnbgAA AAdkZWZhdWx0AAAAC2JnQ29sb3JUeXBlZW51bQAAABFFU2xpY2VCR0NvbG9yVHlwZQAAAABOb25l AAAACXRvcE91dHNldGxvbmcAAAAAAAAACmxlZnRPdXRzZXRsb25nAAAAAAAAAAxib3R0b21PdXRz ZXRsb25nAAAAAAAAAAtyaWdodE91dHNldGxvbmcAAAAAADhCSU0EEQAAAAAAAQEAOEJJTQQUAAAA AAAEAAAAAjhCSU0EDAAAAAAS7QAAAAEAAACAAAAAXwAAAYAAAI6AAAAS0QAYAAH/2P/gABBKRklG AAECAQBIAEgAAP/tAAxBZG9iZV9DTQAC/+4ADkFkb2JlAGSAAAAAAf/bAIQADAgICAkIDAkJDBEL CgsRFQ8MDA8VGBMTFRMTGBEMDAwMDAwRDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAENCwsN Dg0QDg4QFA4ODhQUDg4ODhQRDAwMDAwREQwMDAwMDBEMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwM DAwM/8AAEQgAXwCAAwEiAAIRAQMRAf/dAAQACP/EAT8AAAEFAQEBAQEBAAAAAAAAAAMAAQIEBQYH CAkKCwEAAQUBAQEBAQEAAAAAAAAAAQACAwQFBgcICQoLEAABBAEDAgQCBQcGCAUDDDMBAAIRAwQh EjEFQVFhEyJxgTIGFJGhsUIjJBVSwWIzNHKC0UMHJZJT8OHxY3M1FqKygyZEk1RkRcKjdDYX0lXi ZfKzhMPTdePzRieUpIW0lcTU5PSltcXV5fVWZnaGlqa2xtbm9jdHV2d3h5ent8fX5/cRAAICAQIE BAMEBQYHBwYFNQEAAhEDITESBEFRYXEiEwUygZEUobFCI8FS0fAzJGLhcoKSQ1MVY3M08SUGFqKy gwcmNcLSRJNUoxdkRVU2dGXi8rOEw9N14/NGlKSFtJXE1OT0pbXF1eX1VmZ2hpamtsbW5vYnN0dX Z3eHl6e3x//aAAwDAQACEQMRAD8A4zaltR/SKlTiX33Mox63XXWGK6mAuc4/yWha9BdbW2pbV2vT P8WXVMgMd1LJq6fvktpAFtpj+0ypv9l9q2P/ABp+lBnu6hlb/wB6KgP830v+/KE58INcV+WqLfMt qs9O6Xn9Uy24fT6XX3uG4tGjWt723WO9lVf8py6vqf8Ai2yqCW9Lz6c62C4YthFVpAPpnYQ59b9r xtdu9Jdp9X/q9V0TpbcPCLDlWbXZOU4EiyyR6jjBa706mb2Y1X/qRNycxjEbgeIn+XqSS8z0z/FZ isYH9azXWWd6cUiusH905FzfVt/sMoWofqJ9RmtDDQS50Q8ZVxOp2skstNbd7vobluZfTc7KDW5F mP6A1LDT6kO2+mX/AKR38p//ABO//Cqti9PstyLWOc/AF3vNbXVixwJOjw0WOdt9z/tH85v/AOLV OWbIT8xHloh5vqX+KrCewv6VmW49narJHq1k9m+qwV31/wBf9OuF6r0fqPR8s4fUaTTbywzuY9v+ kotHtsZ/02f4RjF7i3pdLbm3my172fR32OIBILHe3+VuVPr/AEHE6109+BliPzse8CXVWD6Ftf8A 6Mr/AMJWpcXMyBqfqj/zlW+H7UtquZWBkYeVdh5IDb8d5rsA4lvdv8h302IXpFXgAdQq0G1Laj+k UvSKNBNoNqW1H9IpekUqCLf/0Ofpxrb7q6KGGy61wZWwd3Hhd2zplP1Zwa6ca0jqGU132nLaBLtu 3fQxzxuox69/6Oyp/q+osj6l4rDn25rxP2Vm2ryfZpu/s1rrbn1XBgtG8Vu9RrTxuALfcPzvpK/l kTID9Ebj95ZKWlbOZ0bLyG9QprIOQxzy8sed21x9z8pm/wChYz6Vj/8ACf8AXFdZQTmhz8e1zW2O jIttJcwAl1T6Gwz876H876dX6N6G77HQ5z6WMqc9v6Rwna1rCHbhW0j8/wDNZ9NVMbr+LlXOqx73 usbqPUDdrv8AMa3b/YUWTEMhBqqVjySgDXV3KasPHsNlFTWWEEF8kuO477PpF303+96sUZortBcf Y4w7yn85c3ldVubaAx5Y3a123aHHkteHO/d0TV9XNm1j2w92hLSNvzn3M935nvQGIbVS05DuTf4u z9dOsZHTcCuvFca7spzmmxv0m1saX2ek78y17vTqa/8Awfqer/g15s91TsjbYxrrXOG55Y13vPi+ wOvf/wAb6nqL0jqfTm9c6Pjte8MvDW21WOEjcWmq1lke/wBO5hf9H+bfsu9/prjcr6u9RxcplVtI dbYYrsZtfprtse8WNbW3ax+226uv+bs/0ViOCWOIIlQlfVkem+pXWM6/GysO0nJsx2C3FFjyXamy t+M6+ze91bbav0VtvqWenf6f6T0V0eHZn2sf9vpZSZGxrH79I9250N+i5Y/1d6GejYjrLXtflZW2 fTJcxtbNzq62WEM9X322XWXbK977P9HXWrHUuuW4T6q2NY9zml7w6eJ2t1b9H3N/dVefCZnh+W9E h4b/ABg4jafrK97f+1FFVrviN9E/5tLVzexdN9b/ALVn9Uuz/T/QYzGY9sTtrezc6yv1Pz/fYufI AIDvaXCQHaGPHa74q9gyQMIjiBIFVeqEOxLYj7Z80tqmVaDYlsR9qW1JVv8A/9GXQsr7Nh5Lt7a/ 0jJc7UAEbR3arA6rRdXWPtVlrj3pY5xOuhcWez/pKj0aGve+xzW0WkUjcAQbf5yvn81jf5yz8xa7 rHNlhlpboW8RHaFfIjKchYsaSHmwzMom++oOn91ZmR9qY4uqtqYREWt2Eg+72sk/Q/OVbD6ZjYdp tY5z3RDN3DQUfeSQAC4nQAaklGbh5bmF4reCPzDU5oidsvybCypr/wDgv3P8KnGQjVn5jSyIkQQN gLLWzQ70jbWx1tlY9tbYBcZ/edu27d3+jehYFleWDFJGRW5rDWH7xvOrG1vY2rf/AJiJk1Ne2zGv Ac0+2xrXAj+zZWf+ochY5wTe/p1LQ/L9ElmKxsucxgdY6pv0d1rKnWvoo37/APiv0abkPDc94gWe qox4vT1eyysn9mYLCarMk0Nrp9Ogbnk/Qc5rTt9rXe56ycvqt+RFmJiZWO9/tvc00tc7b6bqt3qi 33VO3/ZH0er6f6V9lf6ZZ9GT1qo01YGTTnssrbfiYeePU9Spx278DOtdVfur+hdiZt3r0f8ACezf F/X+otd6dnTKse5n0m+paw6z9Op7VTGOU9Y1Lrvwy/xZNrZ3B1XqtnpsxsCipgIaQ+x7i1oMnZRi Unf/ACXVu9Ncp9ZuuZTOu5j8f0n1Yj2U0svqdEVBjcq5uXUNrfSyb9r979lf/GI+R1jrT6HtFzMK hrS5/wBmaWvLWguO/KsNt/8A216S5ijqra7cWvHtv3ltXrVXtaa/UL/tttbWb91lHq+j6Xq/pLEJ 45QAsiz0UC7vT7+oZ3VMnGstuDRRXe6vp7GvdaN7WU5VbXbKX+ldd69z7WXWW/8AF1/o6GV1vKzH 35V9pecdoorz2Vt2XNYHNZvFX+kc/wBf02O/wn+jYq9fVuo4tLGZN1+ywOLBYRkMMnbc1lWR+gra 3/CU/wDCKGO999FdzcZ+O221zHXYTG1Nc2pvqWW+j76a9le37U9lexQZIRNHhBI0uteH9L/osuAg Tvi4dDX94+n+r/0mZc1zaKWmi9wbvLmD7O/3fRb6X7vu/P8A/UankVCv7HVSxxvvotyLWOe32gfz Ld74Z7dr/wA/3oVln2zIc0vrv9YsY0XMLXubZ7vpbd93qNsfczf/ADnpq5nnGd1J9uMfWuoYKnUO ZMubIoqYLC1trH+l/gvUr/8ARkmOUogVIjvRpblIM5EVV0PKPp/rf9Jzhc8t3em5zRy8NdE/nfQ9 b6CJXYyww3nwkHlpt/MLv8G3f7kT1Mhh9Pay4awBbtsI4f8AoM1rm/SO39Enayw3NDqvRbRUa2se 1rbQXu19b0/p/o6/0dr/APBqziz5DIRJuz1DGQH/0p9Exq8npbA8gPxb3erJDQHvNjWMsc7+aZl4 t/6tkP8A0X2un0rUGzE690EFmXS/N6c0+zKaDvYP3clh3Pof/wAd+i/0GRYh0WZGLf8AacV4ZbtN bw4bq7Kz9KjIqPttqct3pvXMUbaq8i/pF+jWY73etiOPhjWXNsfT/wATvrVmQyYZzyRjxwmeKX70 VaSFFzMXrGC5zbWWw5plodLYI77h+6rNvXMXUmzeSNRJcT/mtXQHob89ptux8LKBOl/ohj3di4W4 9zLPbHu37FnZ+F9XOkjf1HDdQyQN7asy1hJ+i31a7DR7v+NSjzUJEeiZJ/dHEs9kd/xedzOq5V8j GaWE6G54Ex/IZ9Fv9tXPqZ9Xs23qrOqsBczE9R4teTD7nMfUyvf+f7rPUvWlT9YPqnUIwukPyrOz jjwP/Zl7nf8ASVl/1i61mNFWPTX0+rgExbYB/wAHSB9nr/679pT5ZJyiYxxmPEKJyenT+6uERFF9 YOnjGweldPxMj9fwN1ovGmjvpbx+azIt/wDPSNb1LB6vitblM9Hq1QO5ggaNj1LN7vp43u+h/g1n X5mDgb3ZNr78k+6xjT6tzidN1zj7a/8Arjmf8Gq9XXel5FgHUcJ9BafZc0C6A4R7/ayz3MPv2stU fsnhjwn1Q2l/3P8AdXanporrjK8fpby5/pjIeyhrwA76Z3WFjZDX/oa7Pzlzj77sh7LbQ2yhzrnN yg3bvfuFl+w/4T2fZvd/o/SXSfWWrIzcbGf0nFHU8Oqq7+aOrLrGtoosdRLL/wBDT6/+D/nHrmm5 FFebXVlPdj0sO8Y2Q00iprouyMfHrt+l+kZ6PqfTyP5xRZuIysjZQZZ7bKw2ghteNtrqLnDl9J9e 5jHQ/wBvr5my/wD4lT9AUW5VOPdFdbbPRcwWM3erspubuqdltqbtb7H20/6P89Kpri3J6oMqix2N 6WTbQS71XWZG6+7Hxagzc/7K+39JahY2U+g5DCGPaXVhjSYcdv6xdu3bmtq9b0vzP+3FGEp29QzH MoybmFwvvdYC8MeDY2tuO2bqXbqHU0s/Qssr/wBKmsx3mx5rApa8tHsO4DYGhj9ljR6j27f5vdWz 0/5aIMivIdTXs99LG/pNHAzLn7L/AG2fzg2bPRqUOoXnGorsraHXPcQwHiD+j9u36P8Ahfcz/wAm kpe12VTUJsFjG/zotEthrvU2sqLLmu2N/wAExlitm3HbXXU3aNgO8NbtG8mX/nvY/wD42v0vU/0N aLiMc1rHvdDn+5wGgAadjdmrvbtZ6v8A1CyLK7b73PaCHWOLoHaTuVjlY3Mn90fiVpf/039FMaGu Ba4S08g6hX/R8kvR8locS1q4V2b08/qVxrYBtFcloAlz/ps+l7nu/nPUWhb9Yuq3trpyK2244/pA JaHO90yIFbHbWfv/AJ6njdJyMlvqDbVQDDr7DDR/35bFHQcSipz67GPyWQfUvEsHGravoN+l/O/p lXyDDdkXL+rp9vCuFuMMTNyxZm42C70nEllNO0CB+bXv9Pf/AMI6pnp71j5lvV3ABx+yVuJH2apw F3t3b/tFk+oxu9np/wCCXoT3Znp11BraMqx8MdsddU5rBu9+zZ6DLfzf0n6NUsijG6teyrqFVVPq CKHgy94AJLsPNr2+p/pPTf8A4P8AnMVN943qKHh6v+kmIHV8+aOpMNTKsdtbbD9IjePM2+ntaxX8 Oq0XH7fU00Ng2mppcDX+fzZW6t/+jWz1boGb0rdexpzMEausY39LW0d7amfzjG/6Wn/tqtVKbce6 priWuqeJZaSCw/2k4xMon1cV7S+Xh/72TIPNli1/Zq6rK7A0kkVHcA8t5aXhv83u/wBG9bNeViZl RqzWMtYNHhzQ4A+FtDw7b/YVbG6NlX7A9rasaI3v76zupY33XfS+l+iWthdLw8Eh9LS+4CBkXQ6w A/mV/mVM/qoGWmpuS2RDkZf1J+r+Q1wZjnFL43HGc6qf3ZrZ+h/8CWXl/UXODmvwc8ENmar6xDgR t2Otq3bfb+7jrtYnU6k8lLam6HcLHzi3oHXcax9uThC0mBuwgxzQ1rWVt/QN9G38zfZto/SWLMyG sysmpouay6gjbjvDq3y3xZaPV37v+DXrJaDzqg5WBh5jPTy6K72H821oeP8AphyaYDpoq3z+d7hi 1MgHbQyeWtjZ7v8ArLXLe6Z0FjALrGy7kBadH1W6NjZTcrGpNL2TtY17/TG4bXO9BznVNdt/catP 0mgQBAT4HgBA6qOr/9Te9HyUbMYWMLDInuOVd2t8R96fa3xH3q3xLXEx8HP6ZYbcC15bJOzdDhJ3 O9Ox3qN2Od/2nvrux/8AiVsdP6/U8ijMb9mtBn1Ggsbxt/TUy/7N/wCGcV1+N/p/9CpbW+I+9CyK 8NwDcgsgn27iAd3bYf30ycYy12PcftCQXcx7ItbTYC6q1sBg2urcCP5xjQNllfu91uP/AOhGLX/O qf2PNw7mu6e4WY73zbi2kgN3bRvxrvd6TW/pLrK3V2+q/wD0SxcEZmG1tmERlYpfpj26t3g/9p7/ AHenfuW3dmZr/bj0PqB5e9su/ss1b/nKPhkD0/Ym25dfVQJsdE8Dkn+q1Y1fTumMzbc3GxG023EO eeQXD/DDH/o1eQ78+/Z6qUOFh9Qk2/nb/pfirFaIHD13VaUCTJ1J5J1KeEzUQIIY7UtqnASgIWph tS2qcBKAlaWG1LapwEoCVqf/2QA4QklNBCEAAAAAAFUAAAABAQAAAA8AQQBkAG8AYgBlACAAUABo AG8AdABvAHMAaABvAHAAAAATAEEAZABvAGIAZQAgAFAAaABvAHQAbwBzAGgAbwBwACAANwAuADAA AAABADhCSU0H0AZQYXRoIDEAAAALyAAGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAABJAAEAAQAAAAAAAAAAAAAAAAAAAAAAAAACADcAAAANNW4AKAAA AB01bgAR3d4AOIX6AAEAJVVWAE70CgAlVVYATvQKACVVVgBO9AoAAQARVVUAVLw2AAhmZgBpK9wA BDQKAHLFmAABAAR4PQCBquUACo/gAIt0hwATqmoAmhSkAAIAHSNcAJ3FywAhMzQAoAAAADYeCQCp 8NkAAQBMIiMApEleAEwiIwCkSV4ATCIjAKRJXgABAE4AAACl4bIATgAAAKXhsgBOAAAApeGyAAEA ZqqqAPycTwBmqqoA/JxPAGaqqgD8nE8AAQBnMzMA/QJkAGhERAD8z1kAaVVVAPycTwABAHLMzQD6 0PIAcszNAPrQ8gByzM0A+tDyAAEAc3d3APo30gBzd3cA+jfSAHN3dwD6N9IAAQDGiIgA6pElAMaI iADqkSUAxoiIAOqRJQABAKSqqwCGx2AApKqrAIbHYACkqqsAhsdgAAEAeRERAI9AmQB5EREAj0CZ AHkREQCPQJkAAQB31VUAjyCzAHcqqwCPOjgAdoAAAI9TvQABAHVmZgCQJkgAdWZmAJAmSAB1ZmYA kCZIAAEAbEzNAJHegQBsTM0Akd6BAGxMzQCR3oEAAQB3D38Ahg/jAHYAAAB3U7wAdMzNAGaneQAB AGzMzQBebg4AbMzNAF5uDgBszM0AXm4OAAEAbwAAAF3U7gBvAAAAXdTuAG8AAABd1O4AAQBxauUA YOxvAHQ04gBkDyQAeAIaAGhVTQABAHyAAABtAmMAfIAAAG0CYwB8gAAAbQJjAAEAfJmaAG6BMQB9 GZoAbvQIAH2ZmgBvZuAAAQCAMzMAcbg5AIAzMwBxuDkAgDMzAHG4OQACAH7mZgBzI+IAf4AAAHOW uQCAgAAAdFYgAAIAgeZmAHP2bQCCGZoAdI+NAILmZgB11O4AAQCCTM0AdoExAIMzMwB3GlAAhBmZ AHezcAABAIuAAQB9FYcAi4ABAH0VhwCLgAEAfRWHAAEAi9nSAH1iuACMsgsAfWa2AI2evQB9axUA AQCOszMAfYhfAI6zMwB9iF8AjrMzAH2IXwABAJMzMwCA5a0AkzMzAIDlrQCTMzMAgOWtAAEAlAAA AIFYhQCUmZoAgWupAJUzMwCBfs0AAQCXgAAAgctcAJeAAACBy1wAl4AAAIHLXAABAJkZmgCBuDgA mbMzAIC/ZgCaTM0Af8aTAAEAm0zNAH40ogCbTM0AfjSiAJtMzQB+NKIAAQCb5mcAfXU7AJrMzQB8 orAAmbMzAHvQJQACAJcAAAB53oAAlmZnAHkfGQCXGZkAeCZHAAEAl4AAAHgTIgCWAAAAduDlAJSA AQB1rqcAAQCO5mcAcaUUAI7mZwBxpRQAjuZnAHGlFAABAI2AAABw0ooAjIAAAHC/ZgCLgAEAcKxC AAEAizMzAHCsQgCLMzMAcKxCAIszMwBwrEIAAQCLZmYAb0CZAIkAAABuzcEAhttcAG5nNAABAIWZ mgBubg4AhEzNAG2IXwCDAAAAbKKwAAEAgpmaAGxWIACCAAAAa9AlAIFmZwBrSioAAQCAMzMAazcG AIAzMwBrNwYAgDMzAGs3BgABAH7bhwBqKv8AfZhRAGjmZAB7qCUAZvQSAAEAeOZmAGPQJQB45mYA Y9AlAHjmZgBj0CUAAgCCGZoAaKxCAIkAAABov2YAmRmaAGjlrgABAKAZmQBXQJkAoBmZAFdAmQCg GZkAV0CZAAEAowdXAFISaQCknzgASgsXAKahVQA/7G8AAQCnZmYAMnefAKdmZgAyd58Ap2ZmADJ3 nwABAKqzMwA6KxAAqrMzADorEACqszMAOisQAAEAqszNADsQvwCrZmYAOv2aAKwAAAA66ncAAQCt szMAOzcGAK2zMwA7NwYArbMzADs3BgABALBmZgBDI+MAsGZmAEMj4wCwZmYAQyPjAAEAs7MzAENd TwCzszMAQ11PALOzMwBDXU8AAQC5ZmYAPrqdALlmZgA+up0AuWZmAD66nQABALbMzAA3LXQAtszM ADctdAC2zMwANy10AAEAuEzNADWupgC4TM0ANa6mALhMzQA1rqYAAQC45mYANa6mALiAAQA0fGgA uBmaADNKKgABALTMzQAqxDAAtLMzACoX7QC0mZoAKWuqAAEAtDMzACisQwCzmZoAKF+zALMAAAAo EyQAAQCyTMwAJ9m4ALIAAAAnBy0AsbMzACY0owABALGZmQAlwcsAsczNACVO9ACyAAAAJNwcAAEA skzMACOp3gCwzM0AI4OWAK9MzQAjXU8AAQCtzMwAI11PAK3MzAAjXU8ArczMACNdTwABAK2zMwAh uDkArRmZACF+zgCsgAAAIUViAAIArTMzACBMjwCsTM0AIBMjAKwzNAAfoEwAAgCsZmYAH0CYAKuz MwAe4OQAq2ZmAB1O8wABAKmAAAAddTsAqYAAAB11OwCpgAAAHXU7AAIAnZmaAATcHQCJszMAA70C AH+AAAADSioAAQB2gAAACtdUAHaAAAAK11QAdoAAAArXVAACAGoAAAAF6BMAXZmaAAY0ogBH5mYA Bs3BAAAABAAAAAEAAAAAAAAAAAAAAAAAAAAAAAAAAgBQMzMAL2bgAE+zMwA1AmMAT5maADhy1gAB AFKzNAA6sQsAVoAAADqxCwBaTM0AOrELAAEAXxmaADiF+gBfAAAANAmRAF7mZgAvjSgAAQBaMzMA LuDlAFhMzAAuup0AVmZmAC6UVQAAAAQAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAEANtfGAHPVggA2 WV0AeH6eADX3+QB8FdMAAQA6PNYAfq2LAD1isQB+obsAQIiMAH6V6wABAEVJPAB8vWYARXijAHhy zQBFqAoAdCgzAAEAQGjyAHJzIAA+ALQAcmdPADuYdQByW38AAAADAAAAAQAAAAAAAAAAAAAAAAAA AAAAAAABAIUAAABfs28AhQAAAF+zbwCFAAAAX7NvAAIAiszNAGODlQCQAAEAXWIXAI5eEgBd5gUA AQCMeMEAXpG9AIq38wBe7dYAh6OoAF+PmQAAAAkAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAEAexmZ AFd6BQB7GZkAV3oFAHsZmQBXegUAAgB9MzMAWj41AH3MzQBasQwAjhmZAGF+zAABAJeZmgBSsQsA l5maAFKxCwCXmZoAUrELAAIAnDMzAEoX7QCczM0ANWIXAJyZmgAwv2YAAQCbMzMAKZHyAJszMwAp kfIAmzMzACmR8gACAJYAAAAUL9oAiLM0AA8HLQCCAAAADrqcAAEAgYAAABD40wCBgAAAEPjTAIGA AAAQ+NMAAgCSZmcAG0oqAJLmZwAwhfoAksDeADWkywABAJG5agA6jV4AkAfVAD7CJACJoysATqK2 AAAADwAAAAEAAAAAAAAAAAAAAAAAAAAAAAAAAQCh3d4AcdgfAKHd3gBx2B8Aod3eAHHYHwACAKER EQByisMAobu8AHQJkQCl3y8Af7pnAAEArqKCAIy7sQC2e9EAlcOjALvXjwCb7f4AAQC/GZkAoHLY AL8ZmQCgctgAvxmZAKBy2AABAL+ZmQCha6oAwLMzAKFFYwDBzM0AoR8bAAEAwmZmAKEyPgDCZmYA oTI+AMJmZgChMj4AAQDDMzQAoZHyAMMzNAChkfIAwzM0AKGR8gABAMp75ACXIUEA01eRAIocBQDY phYAgk8qAAEA3kOUAHmhgQDj7p4AcZUtAOcUYQBtHRUAAQDqmeIAaInuAO1fOABkvVYA9gBvAFjo OAABAPuzMwBRWIYA+7MzAFFYhgD7szMAUViGAAEA9xilAEohegDwp3oAQYJQAOpTsgA5CngAAQDk TsgAMEdEAN8r8AApyw4A20NVACTbnAACANezMwAiKxAA1hmZACHegQDVmZkAIhftAAIA1RmZACKK xADUmZkAI70CANNmZgAl1O84QklNC7cAAAAAAA0GUGF0aCAxAAIAAAABADhCSU0EBgAAAAAABwAI AAAAAQEA/+ESSGh0dHA6Ly9ucy5hZG9iZS5jb20veGFwLzEuMC8APD94cGFja2V0IGJlZ2luPSfv u78nIGlkPSdXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQnPz4KPD9hZG9iZS14YXAtZmlsdGVycyBl c2M9IkNSIj8+Cjx4OnhhcG1ldGEgeG1sbnM6eD0nYWRvYmU6bnM6bWV0YS8nIHg6eGFwdGs9J1hN UCB0b29sa2l0IDIuOC4yLTMzLCBmcmFtZXdvcmsgMS41Jz4KPHJkZjpSREYgeG1sbnM6cmRmPSdo dHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjJyB4bWxuczppWD0naHR0 cDovL25zLmFkb2JlLmNvbS9pWC8xLjAvJz4KCiA8cmRmOkRlc2NyaXB0aW9uIGFib3V0PSd1dWlk OjVhZmU1OGQ5LTc1MmYtMTFkNy1iMmI2LWY1ZjZmMTE4NzdkNScKICB4bWxuczp4YXBNTT0naHR0 cDovL25zLmFkb2JlLmNvbS94YXAvMS4wL21tLyc+CiAgPHhhcE1NOkRvY3VtZW50SUQ+YWRvYmU6 ZG9jaWQ6cGhvdG9zaG9wOjVhZmU1OGQ3LTc1MmYtMTFkNy1iMmI2LWY1ZjZmMTE4NzdkNTwveGFw TU06RG9jdW1lbnRJRD4KIDwvcmRmOkRlc2NyaXB0aW9uPgoKPC9yZGY6UkRGPgo8L3g6eGFwbWV0 YT4KICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAK ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg IAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAog ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCjw/eHBh Y2tldCBlbmQ9J3cnPz7/7gAOQWRvYmUAZEAAAAAB/9sAhAABAQEBAQEBAQEBAQEBAQEBAQEBAQEB AQEBAQEBAQEBAQEBAQEBAQEBAQEBAgICAgICAgICAgIDAwMDAwMDAwMDAQEBAQEBAQEBAQECAgEC AgMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwMDAwP/wAARCAF4 AfgDAREAAhEBAxEB/90ABAA//8QBogAAAAYCAwEAAAAAAAAAAAAABwgGBQQJAwoCAQALAQAABgMB AQEAAAAAAAAAAAAGBQQDBwIIAQkACgsQAAIBAwQBAwMCAwMDAgYJdQECAwQRBRIGIQcTIgAIMRRB MiMVCVFCFmEkMxdScYEYYpElQ6Gx8CY0cgoZwdE1J+FTNoLxkqJEVHNFRjdHYyhVVlcassLS4vJk g3SThGWjs8PT4yk4ZvN1Kjk6SElKWFlaZ2hpanZ3eHl6hYaHiImKlJWWl5iZmqSlpqeoqaq0tba3 uLm6xMXGx8jJytTV1tfY2drk5ebn6Onq9PX29/j5+hEAAgEDAgQEAwUEBAQGBgVtAQIDEQQhEgUx BgAiE0FRBzJhFHEIQoEjkRVSoWIWMwmxJMHRQ3LwF+GCNCWSUxhjRPGisiY1GVQ2RWQnCnODk0Z0 wtLi8lVldVY3hIWjs8PT4/MpGpSktMTU5PSVpbXF1eX1KEdXZjh2hpamtsbW5vZnd4eXp7fH1+f3 SFhoeIiYqLjI2Oj4OUlZaXmJmam5ydnp+So6SlpqeoqaqrrK2ur6/9oADAMBAAIRAxEAPwDV/wDE Prbji3P1uP8Aevef3h9CGvz678f1H+35/wB5HNz9PfvDI6917xji/wDr2v8A69zcH37wuvZ4V694 7/g/n6N/vH+F7+/eGevfn14Rj/fH/Af6x/Pv3hHr3XvGP6E2t9De5/HP59+8Inr1T69e8f8Ar8f4 8/nn6249+8I9eHqOveMG5sSPpa5/p/vXv3hHr2fXr3jv9F/3n634vx794XW/z68Ih/jf+ur/AG/+ wP8AX37wutV+fXjH/tJNjx/xPNwST794R63n1694iebEn/Hj/eP9h794X7OtZ9euvF/tJPP9f6e/ eH5dez69e0f4E/7H6D/W/Fre/eF178+uzEP6f7C/0/2H09+8I569+fXvHfm1/wAHm1j/AE+v9ffv C8uvZ9eveP8ANj+eb/7f/Y3Hv3hde8uPXXj+nB5+vJvxbn37w89eJ678f14+nN+foB794R49e/Pr 3jv+Lf7H/Y35/wAPfvD69+fXQit+Pr+CR+Pz/t/fvCPXq9ctH+B/Nuefp/jz9ffvCPDr1T69deL/ AA/1uSb8fj/Ye/eH+zrfDz694+ACCP8Abfj63/x9+8OnWvzx17R/tP8AtuSeb3H1Pv3hHr359e8Y /pwfpz/gf6e/eEeveRz17xfnSbcW5v8A48gc8n37w/29er8+sTvBG1pJYkc8aJJUVyT+ApYEnn3b wTwoa9b4CpOOlFjNo7qzYX+CbU3TmwQLHD7czWUBDfQg0FBUAg+2ZGhiqJZkU/0mVf5EjpK97Zx/ Hewr9rqP8vSyHRfdvjWZele3jEQWEv8Aoy3wY2AvyH/gWkgf6/tL9dttdP7yt9X/ADVT/P0wN32o /wDLTt/+cif5+kpk9kbzwokbM7K3lhxCbSvltrZ/GrG30tI9bj4FjI/xt7fSW1kYLHdxMx8hIhP7 AenlvrJtOm+hNeHen+fpLLJAzaEmiZxxoEi67/SxW+q5/wAfajwG/hNelIzkGop1l8dvqCLW+v0/ NuffvCPn1v8APrrxi/05/I+vvXhnr35466MYFvTb/E3/AMfx9PfvCPW8+vXLxj6kcX+v4/oPz734 fWvz68Yv9p/xtf6/7yfevC49eH29eEfI4/3354P5v794fmevfn17x/7T9T/X8/69zx78YuvVp59e 8f19PJt9Tf8AP4/HHv3h9e/PrxiA/B+vH+uPz/iT794XXs+vXjGDY6fp+Lm/+sf8D794R9evetT1 7x/4H/evp+L/AJ9+8Lr32nrwjF/p/iL/AOsfz+Le/eF16uOPXvGLXA/xvf6i1zz794XXsnieveP6 cHj/ABI/41f37wj16vzHXvFc/Tn88/7cfn3ox9e694/pxxbkfnj+t/r734R69nOeveMfS39P6/71 /sffvCPXs+vXvGP6fX+h/wCJ/px78Ij1r7T17xD6EEcfQG/+259+8PrefXr3i4+nP0+v9Prx794f Xq/Prxj5sAef8fp/vre/eH17jx49e8f5t+P9YG//ABNz794XXvz68I/9psBf6/8AI/z794R9evfn 17xXJ9J/P+P1/wCJv794XXvz694x+V+v/EfU/X6+/eHTr1fn14xg/g/4/n6/6/8AX37wuvZ9eveM fkW/xJvz/jf88e/eEevddBPzYi5J/P0NuP8AbD37wuvfn17QDcWP9frf6/S3+v794XXvz678Y+tv 9bn/AG35+tvfvC446917QP6Hi3+vb8D37wuvZ9ev/9DWO8Y+lvp/rcf776++h/hH06P69eEZ/oDc 3JP+Itce9iL5dePDr3jv+B/sbXvxz9PevC+XXj134/p/vv8AYH6+/eEfQde9eugh/pz9CfoSPp/r e/eF5UHXuujH+OP8eeeOR9B734R9Otgn0678Q/p/vX9PqePevCPkOvVz114/px9fxf8AHv3hfLr1 cnHXvH/sB9Bzx/r8C309+MVfLrXXvH/xr6fX+trf19+8M9e/LrvR/gCfpf6f8R78IvkOvZ/Lrrx2 /wAP9j/yIfT37wvkOt167KHnj/Ygj/X/AD+QffvC+XWq9deP/H/ffj6e9eCfTrdfl17xEW/P+2/1 +fr794OeHXieu/GBzYH+p4P+x5HvfhH+Ede/Lrj478W4/wBcXt/xr37wj6de9euxF+D/AF/331v7 94VPLr1fOnXei/4/3n6/j+hHv3hfLrXXvHz9L2/rY/7z+Pr794Xy63X5ddeP63F/r/Qcc/j8e9+E R5dar8uuzH/gBYcc/wCw/oPevCPp1v068I/yLf1F/wCnv3hfLr32Drrx8fS/15uLf6xsAfp794R/ h69XpbdedYdidtbmo9mdXbG3V2HuuudUptv7Qwtbnck2shRJLDRQyikpwSLyzGKJfyw+vtJfXdht ltJebldxQWq8WkYKP5kVPy4+lek891b2yhriUKDwHEn5BRVmPyAJ6vi+Lv8Awm++ZPdNPQZ/uTPb U+PO2KpoJJKLIad5b4amkOpimMx1bRbbo51W/pkyUroQQY/cP7573csWBlg2azm3CcYDCkUJP+nf vI+Yj+yvSB9yuJP9xrIqn8Up0/8AVMVf/etHV/fQn/Cbj+XV1bS0FZ2pS9m/I7ccUiGqO7t4VW2N pvNG41Iu2dkQ7fbwBtV1mqpwVXkn6+4u3H3n5yvyVtTb2UJHCNQ7f71JqP5gDORTh0mcX039ruTg ekYEa/kavJ+esdWs9XfBv4W9MU1NSdV/Er487LCI0cdenWu3MtmSYpPR5M1mqCvzE+kgG8k7Nf8A PsB3/NXMm4ki9327kFeBlen+8ggfyp0w23WbEmWLxD/TJf8A4+W6M5RUeLwlOkGGw2Aw8MUKeKDF YbH4+njF9JCRUkESqhb6DT9PZBIzy1Mzs5/pEt/hJ6Ux2log7LaNaeigf4B1LXP5IM3+WlURpFVV hgQF/SFDlktYE8fk+6BVzRR07QUpTHUernOXjqKLLUuMylOyC9Pk8Tj6ynn4+niqYJFf6XF9QIH1 93R3j+A0b16Ze1tZDWS3Q/aAf8I6LP298MPiB3vjKvF9pfGfo/chqVKNln602fS7ipy41M9LnIcQ K+MvyWF/95F/Z1Zcy8wbc6vY71dREfwyMB+ytP2jpNLtFlIp8JDC5PxRHw2r9q0r+dR1UR3h/wAJ wfgV2JFVVnXR3l01lqgzNTvtfJ1NTjY5Gsys2KrajI4+KJL8CKjA/wAPY92v3l5x28qtzLHdoPKV AGPqdaaWr+3pMtpvtsQbPmAyKPw3CLIPs1rpcftPy6pE+SH/AAmq+VXWsWTzXQ29dtd54ShSaoXC zRPgd3CKMO4RY4/uIK2XQvJanpkuPr9B7kzY/fDYbvTHve3y2slcuh8WP7SMOPmArkfOlelce87r b0Xc9n1L/vy3bWPtMblXH2At1Ql2z0X2/wBEbgm2x3F1xuvrvMxTPCkW4sVPTUdY8ZsxxmVjEuJy Vj9TBNJb8ge5h2rddo3y3+p2jcYLmHzKOGp9q/Ep+TAEeY6O7W/tLxa20wZvNTVWH2qaMP2dBWY/ px9DY8/77/W9mZiPp0q68IyP6m3H1/B968Gh4dbrjh134z/QW+v+2/P+J978L5dar+3rrxj/AIm5 +n9f+J9+8L5DrdT6de8X0/r9bX/Hv3hcMde/Lrxi/wAP9txxf/b/AI9+8KnkOvddiP8Awt/vvz79 4R8x1qvXhGOOLj/YHj+v+v794R/hHXuvePm5HHHFx/vXvXgkeXXq44de8f8Ah/vP+8c/1v7t4R9O vV694/8AW/p/sf8AbfT3rwa0x16vXvHb8cgWB5/1rX/P19+8L5Y63Xr3j/rf/e/ra/0978I57etf Z17x3FrW+gsebj+tvza/vXhfLrf5ddeIW+n1H4IP14v9P6+/CIjNOvefDHXZjJH/ABUj/Y/649+8 Knl1rz4de8f+txz+P98PfvC+Q63XPXvGf6f7z/xT/D37wvkOtYpw694+RwPr/vP/ACL37wvkOvA9 deP68f63IuP9b629+EXyHW+uhHz/AL4cc+/eCfTr3lw678f4IHv3hn061Xrvx/74kf61/e/C+Q69 17x/UWt/j/xPvXhH0HW/KnXQjve4/wAbf6/5t9L+/eCRw6913o/p9b/1H+v+Rxx794X9EdaqcYx1 4R/8V+v/ABrkD37wf6PW6/Lrrx/7T+f9j+OffvCNeA691//R1nPF+ePr/X8fT/W/PvpD4Hy6PT6U 694+fweeOfzxyPfvA+XW/wAuvGP63A/xH0/2P0978D5de49dmK/1t9P+I/1ufevA+WOveuOuvGTy B/sb/nj8f1v734HHHXuu/F/gCT/sQeR/sfevA+XXv8HXvFb+n0v9bcf7b/fH3vwPljrRNfLrrxG9 v96/17/n3rwPkOvfl17x/wC0i39P95/4j3vwPl1sde8dz9B/rf7D/efr714Hy69wHXYjP+H+JuCe P9h794HyHXsddePk3t/vH/FPfvB+XXqU4Dr3j4/1/wDWJ/ra/vfgfIde694/9bkfj/if9b37wPUD rxx134/8L3t/xS1vx714Hy69+XXXjN/oP9v/ALb/AF+fe/A/o9e694r/AIH5/p/sP6+9eB/R699n Xfj/AMBz/wASf6Efn3vwPl17roRWH4PA/wBfkf4j6e9eB8utcevGO/0t9Pr9SffvA+Q6317xf4A8 Dj/ff1HvfgfIde6947f0uBz+f8eLf4+/eB8utfl064Xb+b3Ll8Zt3bmHyWf3Bm62HHYbBYWhqMpl 8rkKhgsNHj8fRxS1VXUSE8LGrH/CwNm5hDbxST3EixwICWZiFVQBksSQAB6nqryRxK0srhYwMk4A /b1sZfy/f+E/vYfdiYTsj5P5CXZWwJKkyHYuFyf2uUyMdOQXpc5uekjqmZmkIjejwa1LghklyFHI NIx75x977O0M+28nwC5utJBuZAfBUn+BMGWnzovrUGnRW93Pc1FsDHD/ABMvcR/RU4Ff4nH+1PW3 38bfhv8AHD4l7RpNr9Ndb4LblPCiyy1seKpY6qrqVAtNNHeoqKqpHNp66or6wfmdvxjnvG87xzDe fXb5uUl1cVqNZ7V+SRrSNB8lXHVI4I42ZwKynixOpj/tjkD5Cg9B0aGKpijZpbF2YKyuzXkswUgF m1hvyLAD2XY6d/w9ZzXyHWFSRjddP0X02IsSjrqCj6Djj8+/dep1D88yGNrgszSAuFtqIJZzoYvz rAINyf8AH3rPW6DqTGZyhb1Owj5YhhpeQ3ABBDHkXB4sfei1MU61jrEaKrqGd7P+3IHXSGKkMShG i9/ICbC5vf8Ax9+U6vLr1adcZIJolEjuYwqS8sCrMymw03F2AswuOeCDzf3tgSKV691y8spMTGZV Z7KCxEgSykFdP0W1+fz70AQKV691Ih8b64RMUUNGCxIvIFIDgHjTcgX4At7bK0pnrXDrJGVZlmEz iSFlKukgjZGYhSUljVWuij02IIP596UgGvXsenSE7U6J6H+S226zZHenW21N70GQi8JqMziKKWWc EBFBmljlYzxarASh4yedPswsb6+2+4F7tt5Lb3YwHjYo1PQkHI+RBHy6YmhSTSXQGnD1H2EUI/Ij rV4/mG/8JlsNS0Wc7Q+Cu4anFPTxy5Gt6T3dVVeSxMgT9yZdpbh/yrMYkflYJ0rIF+g8KC4nvlH3 vuYXhsOcLbxoCafURDS49DJEtFanmyUPqpJ6djup4ANTGWP0NNY+w4DfYaH5nrUT7P6m7G6X3nk+ vu1NnZrY+8MS5FXh85TiF5og7RpXY2qieagzGLnZT46qlkmp3/subH3kjtl9t29WcW4bVdxz2bjD KcfMEcVYfiVgCDxHRlDPFcLrietMH1B9COIPyPQfmL/Af4gfjj/W/p7MPA+XT3l17x3sbD+lrgcf 1/w59+8Djgde67MXH4/3x/3n3rwPl17rrx/nj8/m/wDh+R9PfvAp5dex6de8RP4HNz9f96/oPe/A +XWuveP+tr2v+P6D8f7D3rwB6db678X+H/IuDb8e9+B8uvde8d+bf7zwLfT8e/eB8utddCIWNx+D /rH+vv3gcMDrfy694j/vvx/re9eB8h17r3i/wH+3+h/2Hv3gfLr35de8f+Fv9bn+g/x/Hv3gfLr2 PTrvx/Thfr9Pp/W/45978D5dap11o4v/AFP+t/X88e9eB8ut06947/j/AHm34/r/AF9+8D5de+3j 17x3/A/x/H++t78IOOOtUpXrwj+vFzc35H/FPofe/A+WOt08+veLi9gOB9eP+Kn37wfl14deMR5+ l/pwRzx/h714HHHXvQeXXjFb8A/7x/W/P9Bb37wfl17jx67EdvwPof8AXv8A4f4e/eB8uvGnXXjv 9ADzx/sP9t794Hy69/g694v8P96v/j794HqB17r3jv8Agf7fn/evx794Hy6914REj6c/8R/tvexB 8uvcD12Y/wA2uD9OR9P8P9f3rwPkKdax1//S1qDE/wDS4ueb25+tvx+PfTLT0e619eu/DJ+bf697 /QWP+w59709a1r69eaFxc2B/xHH+uPzcc+9aeth1+dOveFuOLf7Ec3/r79p9Ova19euvC/HH15+o 5/P0B/w970j8uva19eveF7fptzb6/wBeR9OT79p9eveItePXZhfkafr9LkD8/wBObnn3rT17Wo8+ vGFuLg3/ADyP9vx9fzb37T17Wvr17wv+Ryb/AFPH4/H+Hv2nr2pcZ694ZOeBwPyeLf0H+Nve9PAd eLr69e8Lk2sP6cH8f1/wv71p69rXj14wyf0AFhxccci9hf6+/aeva19eveGT62Ufj62/2w/Hvenr xdfXrrwv/QHgfn6f0IP+I9+09e1pxr12YnvYgAH/AB/1yOfrwfetPXta+vXXhcj6fUcX/r9P9jf3 7T17Wvr14wuDyo/P9P8Abj37T17Wnr134W5sAeP8L82sbf6/v2nr2tPXroQvzwLWHBP1/wB9/vHv enr2teu/C/8AS31vyOOR9fz79p69rXrxhb8gX4tYj/e72t/j79pHXvEX1x0MnRPQXZfyM7AxfXPW GDkyuZrp6SOsrpIqp8Ngqetqo6KmrMrU0dNV1AFTUSCOmpoIpq2unIhpoZZDpBBzHzFtHKu1zbvv V0I7VcD+J38kjX8TnyA+00Gek11dx2yrqqZWNFUYZjxNPkOJJwBk9b1v8uj+Tn078PNvUO6t8Yil 3129kaaAZuvzlNSy1SLJoaWjr1haZcNhg5/49+imaBrD+J1Fe3+Tw4Uc+e5O+c8ztE5NvsKn9O3U nNDh5jX9RuBp8KngOi7Q87rPd9zj4VHwL6Urxb1c59KDq7OkpkigR3CA0qx0kEcESQ00ENMqikpa enh0w01PBEAqRxqiIFAAA49x6qhVApw6fJ+fU1ymlPQddtK6lj5axN/UUJPNriwt78a4p1rrqOM+ VIoYy5njULa4DuSFRbXP6mfixHNufe+vHomc/wDMM+I9Lj6vLr2RlarDRwbu/h26IesO1o9lbmyW xsXuLKbj2ttLfWU2fjtjbn3clLtXJLS42kyMlRXT0ckMCySDT7p4ievV9DV4ddZr5n7XWt3Aetun u+O+sD1/jtvVnZu6+ntqbdy+K2K26Ns4ffONwkVBuDdm3tw7/wB6Ueytw0OUrcLtmiytfSU1VGrq ah0gPvEFcAnr2n5gdJmp+f8A1lHiu8qZFbb/AGL0/uDvrGrszOUm585LV7U6B21gt3Z/tXdlNsrb OXzuxev8lhNx0nhkraVapqyUUUKz1V4xUuvdjuHW9FSK8OmHb3YdX8yuxe+tiUGC7G6spvjpvDOd Xw7sq83uvAbe7M21258f6LObQ3rhsfhdwYtpsths3vKDL0hqaXSuLipp6ep8tQY4NU8QnFKf5R14 UQVA4/5+kZ3hsz5R/E74g/JDfPUXcuC3v2bRYKs7Y3R2D2bh8rX123tr9R9dUmKeg2JtM1ObxWa3 fuTauzKCglmrpqWghq3q8rLFUTyfbltkmRXKtWv8qenXqqzL20HVnO3KlN10eOq8Kj1EeTxWMzUP jIEUNFlaGCupTLK2ldLwzJpb6sGv7UICRnj1Q9vE4r0rKja2agBnWjpprsjmOGQPIoUgmyMVLni3 BY8/T3cr6ivVNamgr0yTTlHkUwASANGFCtG0bA/hWUEsNPNv+J910j+HqwHz64eNmdpJA8YJ1E8X YMRq9KgMEa173597Apjy69ilOl1gdxGER0dczSU+p0iqnLySx29QWZiWZ4wbgN+OPdhT8+m2SuR0 Q35+fyw/j9879iV2O3TtnE0G9FjlqsFumkRMfWUuRlUN9/RZakpqirwuUmKrqqoo5oagemspquMK iijlXm7euUNwW92i5Koaa4zUxSAcA6gj7Awoy+R6YKkOJUcpOBQMONPQjgw9QfyIOevnifN34Ed0 fBzsjK7O7Cw+RqttjJTUuD3dJjxTRzRu8jY+kzkNPJV0uNyVbBGXp5Y5paHIxq0lJM4WSOLNDkbn 3Z+eLPXZOI9zjUGaBjV0PDUvAtGTwenAgEA46MLa+EriCcBLkCoFcMPNk9aeY4r5+RJH/C5/AP0/ I/rY8/6/seU6X619eujC/wBNI5J/I/230P8AX3vT1vWvr134X/oD/sRe/Nhb8C3vWnrWtPI9e8L8 XW1ifoL/AF+n9PftPXta5z10YX/1P+wFubfT8XHv1OvB19eu/C5IuB+T9RYC3P8Avv8AH37T17Wv Xf27/W3+Fr/73/r+/UFOva1HXXhkP0Uf7Aj/AB+t/wDX9+0049e1qOuvC450j/b3/P4/IFvfqde1 r69d+B7j0/jnkcn/AB/Hv1Ova19euvE1v0/14vcgD+v+Hv2nr2tfXr3hc2On+l+eP+Jt79p8uva1 9cdcvDJ9bC/H55H+sPof+I9709e1pTrowycekf7fm/0/B+vv2nrwdPXroQvb6fS/9P8ADj6f1/2/ v2n9vXta+vXvC/8AT6/WxFr2/r/T/evftPXta+vXfge17D/XvckXuf8AD6e/aeta14ddeGTjgf7B h/Tnj/Ye/afl1vWvDrvxP/qRc3vz/h/hf37T17WvkevCF/6cH+tv945P4HvWn5db1r69e8L8cf7Y /wC9/ge/af2da1r69deJz/ZH+wP1P4+lvp73p69rX+Lr3hfggW4+l7kc/X/WJ960+vXta9e8L83H +P1H1tbm34Pv2nr2tfXrwhf6aQOD9Tb/AHj/AHv37T17Wvr1/9PW+8H55/4qf8B+PfTboy8Trvw/ 7b6fk2tf6/4+9da19cTBfgg2/wCJ/p+OPe+veJ134bWtf6X4v9T/ALH+o96694lePXfhJ/P+H+2v /wAR79jr2unXHwfT/Dkn8n/X/wAffuvCT59d+G30/pb/AG3+3t738uva+vGD/YX/AKf0/P8AvPvX 59e8QdeMFxyP+R/4j8+/Y694nl10IAOP9b6345+huPe/s68ZOuXiP+H1+nAP+v8A7Ye/de8Trowk X/xvb/G31/p/X37r3idd+E8mx4P9Pof8T+Dx7117xOuvD9Px9fz/AF59+694ny694bmw+vHAPPP0 t+eR7317xKD5de+3/wBf+n5H+3P9ffq9e8Trrwf6/wDvP+t+feuveJ134Ta39P6cn8+99e8Tz694 j/tv96/2/wDX3r7evax134T9b25/33PHHv3XtfQr9KdK71747F291rsagnrctnK+jppqiGjqq9Mb SVlVT0f3T0dIrVFdVT1FSsNLSxfvVdU6Qx8sSCPmPmHbOVtput53abRaxjA/E7fhRB5sxwB9pOAe k9xdiBAQhaVjRVHFm9PkBxJ8hUnr6E/8t3+XB1x8GOuMS38Ip6ztytijq83mKxKKvrcDWVdIqVcf 8RiX7Wq3XVUzmOsrIT9tQxf5DQEQLNNV4Gc4847rzxun7z3NikK1EUNarCp4Y4FyD3N5/wAgnigZ WM07arhuJ8h/RTzCDy82Pc2erN5FKSSkxahey6R6dA0lSpY63/SSSPrzf2FQKCg6UddU7KnnRkWT S4diQLFnDWAC8jmw5/1+B791vrKQ4ER9I0yMpKkKVP8AbCgsGbRfk88Xtf37rXUukcRVUDDTJInj kVYQ8zp43UqVjjBa5K8m3H9fe+vU6qv6Y+AMM3Wee2T3hufsncE+3e1PkznepOqcx2PBX9K7QxO+ t99qy9V7zxWw9vYrGmrzWM2nvtJ4o8vUZI4yumcqiSpFoZWOoIJPnT0+R6uWoagD/L17o343/KLp XrvKbX6L7u+Pmjf23djw9o5ncOD3jvvIdV/IDaXVOzOlezd3dU1W18zhsPu6jrn2BS1yYfcMePlx udil80jQyNTL5UZRRSM/4fl1tipNWB6LbmulPiD1p3b3rksz8n+xabtntTdOK6p777MxXVu6dx4T ce3t59EbG6WzXQHyK7GxG2qzp192713FQU+6MRJPUYrIbc3Nl0SOJYqqSGoqQoLd51fZ/h62KkDt FPLofvjd2B1Xsv5Adl4fZO0PmvDX0/VXQGN+QT9udZbN676z2Li+uetMhs/Yfem6o911uB7Docnu rYXXVRTViY6OtpJPs3cUUUg1CykBjQNwFf2cetEHTxX9v8ulfuv51dUdl/B/s/vul6ozef26tdtb qzf/AEj3HmqLrTJUe2u8M3tLaeCznYGXp4dz02B683h1x2jjtzRZCKOoE2Bq7jRURzJFsuDGxp+X 29a0kMBX59L3oz5L939iZ/cfx46NwXxEps711sPaG54eyuuOz98/JbonbWDira3aA6h3nlsFg+pc pgu3aSkoKOtxtF99VJPhlmmlEJSMS7VmJ0IFqB9o/wAmemnC/ExNP2dAvlflr8vsh8Yvhn3jl+ys Ti5vlX3FtDae5cR8fPjpFuPeXXuMz/VfbGZbZ2z8P2Lvzf8ASbwzb9j7PoaaXJVdLAKeiWc/aknW ldb6EbVxPkOvBU1MNPAeZ6Y+5NxfJCt3d8UNoQ7k+feWh7Wz3yXxe49rCv8Ai18fO6t65jZvX2xt 77CkpcvhYtvbN2515h6HG59UjappM3NUTvrEqRxKNMXqo7s19AerIVofhFPtI6MD/Lw35vXenSe8 k7F3furN7v273Z2Tt5ti9m5yLc/ePRm36OqolwnT/eu4ExOD/jnYWFiaatSvSGelqcTX0f21bkIF SslvGSQanNfPiOrMMjGOj8INABAPhJ0C5UMAVYyCMH1F3Y8A2v7c6rx+3pR4jcMuKaKnmXy0b6VM aCRmhuTZ4mY6dJFrpwP6e9gnh1RkB+3oCfmJ8Puqvmp1Pl9mbvx2InysuLrKXb24K6hWtggNQBI+ HzdOmiortv1lRGjyRqyVFJOqVVK8VTFHIDHa903DZb+33Xarpob+I1VlP7QfVTwYHBGDgkdJpYEm URyEgg1Vh8St/Ep9flwYYOOvm1/OT4TdhfDLt/c2xdzYbKUuCocy9Bj6rIa6mbHTzwtX0mLrMokE FLlYazHH7nGZONUhy1GC4WOoiqYIc5Pbzn+x552kTKBFu8IAnhr8J4a19Y34g+VaHyJdtLyRna0u hS6UVqMLIoNA6/yDLxRvkQeiSGA/WzD6/wBQP+I9yEel/iD8+veE/Tn/AA4/p9D7917X119uP8fz +f6/73x799nXvE678H5vx/rm3HHP+vb3vr3ieQ678P8Atv8AW+nPv3XtfXvF+f8AY/4/T8f7D3qv XtfXvCf6X/2HH15NuPe+vax6466MJ/23IH0/HHvVeveIOvGE2tc2/wBb+v15+vv359e1+fXXg/24 4/33P09+694nXfgPF/6/1/4obfn3uvXtY694T9Of99/j/r+9de8Tz694P9ckf1/HvfXvE694P99z yP8Ab+/db8TrwhPHJt/T+luLf7D3rrXiCvXfhP8Aj/r8/T/W5/PvxI69rHXXh/r/AL76f7H37HXv E/Z14wH6ckf77+hvb37r2vh69d+CwIt/sOD/AF+n19+J614nDrow3H5/H5/p/X37reunXvB+f94/ w/w/2/v3XvE49e8P+w/p/X/jXveOveJ6deEFr24/33+3sPeuvGQde8Nv9j/vrf7H37r3iVx1/9TX V8F/z+f8eR9fzYX499M/t6d19e8I+v8AX/D6X/3n6e/D59e19deEX4uBf6/48/439+69r68IRb6f 6/1t/vXvx69qPXjCPx/vH+t/xT34de1nr3h/2/I+nH5N/p9LD37/AAde19eEP0Bt9fqQfpYc2t+f fuvaz134f9b6f8SP8Pfs9e19deG1/r/vv9tbn37r2o9c0pvI6RoCzubLGoLSOxIAVE/U7MeAPyTb 36vn5de1/Loddl/F/vLfz0wwPXmcihrAGpqvORx4KGZG/Q0MWReCsqg4+nhilBF7X/JVeb7tNgjP dX8aqOOa0/PgPzPVTKOANfs6sQ6m/khfMztKOlq6XZ+ap6SoKap6TauYNENYJA/jO4ztfFadNrsH I/3sx9uPvHyZYl1XcFkcfwHWc/KMP/hx14SN5pj7R/xfR99mf8JnPkDk0ik3PlY8WJQC4rN3bXxx i+nAgxdHuioVfre7ljx7CF594DZo2YWm3yyD100r/vTqf5de1vw7f5nofMf/AMJfs0Ir1+/tuPM3 P7m9M/qT+oP2eyqeJjf82Psob7wgY9mxyU+1P+gieq6pOHiCn2dQcx/wmE3LFC38N3phalkQsFpt /wCTpmlJ58dsnsOeFTzYHgE/X3aL7wilwsuzSAV49h/kHB62GfB1j9nRa9+/8JtPkdgIZajbjZjK LH5GQYzNbH3Tr4ui/ayVu0shcEc6Qxb8C/s+tPfvYJWCXdtJH8yjU/apk/mB1vxJD/D/AD6rh7f/ AJSHyx6heb+L7bkVI9R07iwe4NmSMqlrCOuyVFWbclZitltkAG4seR7HG0+6PKO8MI7fcE8U+WoE /wC8nS/n/D1vWw/CdPyz/k6IVvbpzsvrhz/ffZGf29T69CZOspVmwU7gkAU2foZKvDTsx+gWc/X8 exzbX9neD/FrpHPoDQ/7yc/y68JARg1P8/2ceg78I+n0H+8j+l7Erz/r+1fW9Xz6nYrB5DO5PH4X EUctflMpWU+Px9HBzNU1dVIsUESliqqC7epjwigsbAE+25poreGa4nkCQRqWZiaBVAJJJ8gBUk9V eZY0eWRqRqCSfkP9X59b3v8AJg/lsbf+MXVm3e8970FPle2d74umze3zVUBT+7dDlaWphbdMTTh3 avy2LnaHCsyI1LiJJKgBZq99GCHuXz9cc878zW7sOXbUlbdMjUa0aZh/E34a10rgcT0zaxs5N5cr Sdx2g/gTyUf0m4v8+3go6vVMQ0uDe6qjq8lrhCVZvrpOkAEWA4A9x30tr0D/AMh977r6x6L7q7J2 RjsTl949edQdjb42ljMwKqbEZbcGzdoZbcONosvHRzUlYMdW1NAI5BFNE+lzpdTz70xIUkcetqKk DquLbvyu7zy3TuA7uxnbtJv/AAdbv/4fUu4qU/DTsPofYmN2f3p3FsXZm802xvnszOZeTfmTp8Nu 5oEloZZI6IBKoXDpdrUxGrVio8qfz6uQoNKZofOvRtv5h+899dVdEY/a+w6/sDE9k969obF6Q2Tm um8PPu3tbAY/cuTlzfZG+Ng7bpqWrra7c2yeo9tZ3I0reJ4oKmGKRwFB93lqq0HEny6bjKsc8B1V 7v3vbJdxbX6H2F3rvrbXV3a/TW+uw+su6OmvlXU9ndE9W955KXZ+MzfSna3Ye9usMtC/XGV3z1/R PncDiMxNVYXIZeozFGkTVmMjEbRbUFDfEPXHTtPipw+XTr8c8n2rvXu/4/HojPL1Ti8XsH5udB1X Y+Wrd3/MXqndOL2L2L0j2nt+q6f7F3VkutMrnev0q9w5HFberMy1Q9GcTV46Nq1IUn9+UnUunjn5 9eNADqFRj5dWHfBLYXY3Vu3fkvsLsWHKZTLUXzJ723TiN4V+0YtkY/sDbfZC7V7Gbd+3MDRyz42m wlTuDc9fTD7SWaFailmXWWVvbkYI1A+p6oxBKkenRaO5ugPktSb871n+P3W+/urN19mdgyb4667n 6t+VVHtn431WbzWNwEOQ358jvjb2JXZ+jyu88Zk8S7Z6m2/tytp9z0sEDRz01TI8kVWVqtQcfnj8 x1sEGlTinmM/t6Wff3XlP2h2j8g8BtX5G9BbczHyz+NXTHxrq6EdiYc7+qc3szf3Ys3aVdtfZuJr arLSV2Y6c7BydJifAzSU+Q0+UJFG0nvbZZqMMin+r8uvDAHacdKTO/yvti7xqN/7c6y7I37sHaPb PT1B1V3Bjt75zd/eE27qTYOf2/uLobdNJVdjbvrTh811HW4ipoKemiP8PrcNkZaZ4VMcMi+8KtQp wf8AUOqs4AqwzXqx7A/GjYWyu75+8OuZsl1zkNw7Uk2r2XsXaEeMxfXHaRo2pm2luvdm1kx7U0e/ dkxxS0tFmaI0tdNjqhqOreop4qZIHggDalx/l6TaiRQ56Scnwn6IqukNi/H7I4/eFZsLrXfh7K2P UUm/t2bW3jtzeCbr3FvChymK3psnJ7Y3FQHF5DdNXBTrDPGFonELa1Bv7w10hM0B63rOotivSr6/ +KHQvWWWxm4NsbKrKjcWFzcu5MNuPeW9d/dkbhw+dqNt5faFVk8Tm+xN0bpyeLqK3bWerKOcU8sa TQzsHDcEeEaKagZ68XYgiuOhel2PtT+I53OUm38Ljtw7m/hrbg3DQYqhpM1nHw1L9jh3zWSggjq8 ucVRfs0/3DyeGH0JpXj3agzjJ60GIoK46QmUxVTjKjxzRDwAgRSgsY5owfTZmv8AuR3JZTY8cEj3 U8fl08CGGOo7uhTlfSkrAEfqXVbQVZg4Kg34/B9+69054vLVOPl8sCq0cpJnp7kRyAWVTGBfS/8A tQABPvdf2daKg8ePRHP5k/wY2H85eitwrFtylyvZ+3ds5Cn29odKTJ57Gxv/ABOfZj1euOKDJmth +5wdVNdKDLBWusM1SHPeWuYtx5V3uz3zbJSJYj3rnTIh+JGHA1GBgkeWekF3bNMi6GC3SNqjf+Fh 6+qsO11OCpPnQ9fNo7e6o3B09vrL7G3HDVCahlafGVlVRy0EuVw0k0sVHXyUM371BWJJDJT1lM/7 lHX089O9niI95/cu79ZczbNY71t71gmQEjzRvxIfmp9aVFDwPV7S7+qh8QoUlUlXU8VcfEvz9QfN SD59Bj4Rex5+v0B/Ps66Vaj134R/r/4825/4m/v359e1Hr3h/wBb6c/8T/Xg+99e1dd+G/454/1/ pf8Apz7114P8+uvDe1v9h9eP99b37r2o5r14wj/C3+xv/sBb36vr14OeuvDx9OB+Of8Ae+ACffuv auuXhtyf+JHv2evauuhDza3H+IP++/Pv3z68X9OuzB/tx+bk/ke/Y69rPXHwi3+t/Uk/6w9+69rP XLwD/C/Nvr9f8ffuvBz17w/j/C17Hn+v+xHv3XtR66MIP0Fv9a5uOOT/ALH37Pr17X17w/T/AH3H 9Dx7917WeveHn/ffn688e/enWteOvGEXuP8Ae/8AW/1/fhXreo9e8P4t9f8AA/6/1+p49+/wde1n y694Rx/vN7/S1hx/X37PXtfXvCP9b/EAn/b/AOHHv3Hr2s9d+EEfn/X/ACf9f37rWs8eveH6mwHH +J/w9+63qPXvDx9Df8fX6/1/259+r59e1enX/9XX5+yJFrC/H4P+88/T30yqOq+fHr32R/oPp/Ru ef8AA/19+r16vXjRH+g/2AYf70ffqjr1T177I/0B/wBg39f9f3qo69177I/4A/6zWv8A7f3vV+zr 1c9d/ZEfgfT/AGr/AIrxz79UV69177L/AAH4H0Yf7fn3qo69X16c8LtbNbmylLg9uYevz+ZrnKUu KxVJVV1bMQCzOsFOrSiGONS7PwiKpLED3SWaKFGkmkCRjiSQB17VQVJx1bN8R/5OfyG+SdfTyy4X IRYxahErhg3pUxtBE+lpI9wb6r4jt3D1CxqQaejXJVH9AG9xjzR7q8vcvB4VmEl2B8Iy/wAqIDUC v4nKDreomlFx8+toP4sfyDegOoKfH5PsippMzl0VZarEbRgkgDSAArFX76zS1O6sgFP6xTCgjJvp AHvHzf8A3k5m3dnSzC28B4V7m/ZiMf7yx+Z68VU8ST/g/Z1ct1p8dOjenKZIuuesNo7ZlXTrydPi oazOTMONc+dyP3eYmYsbnVMRfm3uLrzcdw3J2k3C9kmY/wATEj9nwj8gOrV4D06GfyJ9L+0lOvU6 7Lqov+OfpY/70fr79Q9V6xGdQbWIv9D/AMV+nPvek9er1ieoFzY/jix/wv8A1vx72F62COo5q9I5 I5I/px/gfr9fe9PXqjqJVT0lVC9NVxQVdPOhSWmqYkqKeRWJBSSGRXjdSv4It73oBww61qAyD0TX t/4NfFruOnyBzfWeL21lK5HWbO7FSLbFXK7ixaux1LEcBl1P1ZKqklVrkE8+z/a+Zd/2Yp+79xkC LwRu9PyVq6f9qQfn1osDhsjrXm+YX/CevG1EGU3R0a8WUlIqaxv7p4+hwG54SA8t8nsKWph2jugG 4DHFy4yqaxsjGw9zbyv733MJitt/hxw1irJ9tcyJ+fiD7OvZ8mrTy/zH/i+iq/ysv5RG+635LVe5 e9Ns0eU6z6/XKVldVFKuniyP2M8VMu1slhclHSZvb+a3bVp9jNT1MAEeIiyTxyyM8Mnvfu97nWe4 bDa7BsFyfEvO6dlIOmIHCAioPiHj5gCjAVp0neNri7ijZT9NFRmrjU+Ci/ML8Z8jRR1ucRxBiQsK ofEBGkKiCBFVUSOGnVUEUMEIJVFChECgLYW940KtFVfQU6Mvz8+uE8bxlQVssi+mMHliAToe3qsG FjxY+7GvWx00bg2dQ73w+Y2blIzNid34HNbUy9PExjnlxW4sXPicsFd1ISUY+tksxBC3Bsfp71Su PXr2rTn06k5H4vdR5/424D4pbqxea3P1Dt/YvX/X8FFkNy5rHbkqsP1ku3m2lX1G69t1mDzlNn6C u2tRVf3tJNTTCpi1qV+nu2gFNB4U6Y1HUX8+s3X3xc6O6xymPz219nVdVuHE5nJbhxO5d7by332b ubE5vM4GXa2XyeJ3F2TubdmaxdVk9uzyUdQaeeMS08jIwIZr6CKMgZ/b/h68XZhQnHSu3P1ZtLMV GUyq7X21V5HM01FR505DBYqtOcoMZNU1WNosi1TRSvX0+OqqyWWnhmZ4oZJXaNVZ2J2VBqadWWQj BPSXEEFCkdDTRJTQU8a0y0UVMtNFBFTMEihhpotEcUVMh/bUKqpfjj3rh59O18+iQ/zHt3bv63+H /ZHaOwKzMU25+rM/052JTUuM3FNteozGN2r3h15XZ/albn11Jj9v7s2/91j615EkhFHUuZY3QFS1 Ieyqn06slCadV89pVu7dvdc/I7F/IzG5ZPm0vaPQPf1WuFyGZ3H1Pv34+9Y/JHruvwOB+LjJSUcB 626z21X1ON3LhKinj3F/GJqjIZRamCtpZvdGrR9Y76g/l8unBxXSe2n8/n0ePqzofYHU/wA8/kVJ sfpTZWzdj7r+O3xy3FtbN7V6z29gNvUO79r717t2hufF4TLYnC01HRbgmwlbi6mshpnWpkgMcsgK 2b3cKBI1AKUHVKkqKnNT1aVszHRUuNNYEIlr3Lkt+oRIzLGv9ACQTx9b+314dJpDU09Olj731Tr3 v3Xuve/de697917qNVUlPWwPT1MSyxOLFW/H+KkWKkf4e/dbBoa9BtnMHU49DIjGSnM8eiVbAol2 sk6gchVNr8A2/r7qRTPTqsDjpmpi+otIIyviCuFsVvYMAmoghWUggX4PvVerH+fU6nrXoJZKmmd0 IEJKuWK+PUEbUNRVlcG5sDpt9fdHJBFD1oiuKdap38/v4Ifx9E+THWuG0wZGqymS3Bi6OlD/AMK3 nDRPX7tpg9MQ4xvYuDony0CqthnMVVEfuZBtU3+x/Ov7j3uXl6+lI2u/caKkUSc4B+x8A54kenRR dr9DdR34NIpNMcv7aRyfkToY+jA+XWoetIXCuLG6qQQCQQQALEWDC35sNX195k1HS8+nXvsj/Qf8 nH/YfX/H3qvWq9d/ZH62Fv8AWP8Asbc+/ah16p66+yI/H9b8H/b8H6X97qOvV699kef9f62f/euO feq9er59e+yP9B/tm/1r3971eXXq9e+yP9B/tjx/tjz71Uder139kebD/oY3+nP1/Pv1R17jTrr7 I2+g45+h/rz+eT73Uder139kR9AB/sG/4r71UdeB699mR+B+bCzf7a/9fe6jHXq566+yP9B/X6Me P9v/AFPv1R16vXvsjb6D/bN/t/ryffqjr1evfZn+luPr6v8Aitrn36o68eu/sj9LDg/Wzf8AFfft QPWqnrwoj/r8c3Df8V496r1Ynrr7M/0H+xDf8QQPe6jrVevfZt/Qf7Zj+P8AiD79Uder177I/Ugf T6eq97W/4i/v2oder177M/0/rb9X/G/fq9er177M3+n9TezHn/b/AI9+r16vXf2R44H+2b/b3vcf X3rUOvV699kbci39OD/xX8/197r16vX/1qIRTj6f8U/wt76Vaj1T1z17wDg2/H+9/wCw9+1deqeP XX2/P+HP14/23v2ry8+vV694P8Bbj/in+9e/auvenXvtxa3+N/pwPyfx79q6917wAE/kj/Y2FuSf 8B79q61U9G9+Mfwr7X+S248HRYHA5qnweZq0gxcuNxb1+4d2MHtUQbSxL6VqaaAKfNkagxY+mCtq eRwYvYY5j5t2rlu1mnvLhdajIJwvoDTJJ8kUFj6AZ69k4UZ/1cetyf4OfyVOo+jsJj8129i6Ouy0 0dPPVbCxtf8Adw1Uym8T7/3fEsOQ3TWRkXajpGpsZEwARGFwcVubvdbet/laLbpXt7P+LhIR/RAJ EYPyq583HDqwUKa11N/g+wf5+rgtudodJ4Te8fQG0s5trG7wwOOldevMBj3pEw1DRY+nyTxNFQ0U WJo2THVcUvi8gkKODYn3HR2TdRtjb69m42xpKeKeDOxIJqTUmoNTTjWpr0l/eFobz93i4BvdNdPn Tj6dC3U5FIIppXZrQRSzOqC8gWKMyMoUC7OVXgfUn2XBalQKVP8Aq/y9K/tGOiEdE/zB+q+4J97L uep251bBtrIYXH4hNw7yx9bX7hly8uRppI46aOlo2iqqOopIleKPykGZdRX2P9/9vd02dbE2TPet JGzyeFGaRABSNRBOCCaEgVoeg5t/MlreNcLcKLfS4VdbAF+IxUD5cPUdHpevVbqeCtwQbAgg2IJ5 tzx7AQWvEdCItTqM2R+pBVbWFiefrzbnSfr7vpGAOtFjnppyu4qDD0rVuYyeOw1FGPJLW5aupsXR xp9by1VdLDBGDp+rML2/w96do4lLSOqqPMkAfz6vDHLcsI7aJ5JDwCKWP5BQSegdr/k10PQ4Hfe5 f9MnXGUw3WeDqty7/q9vbtw26pdoYChW9TlczQbaqsrk4aOIEAkQsdRCgFj7Lm3jaEgurj95wNDA heQq6tpUcSQpJp/xXR/HyfzZJd7VYHly9S6vpRFbiSJ4hLI2FRGkCqWPpUYzwHQh4TdmK3RhsLuL BVceSwm4sTis5hMhF5FiyGKzNHDkMbXQpKkUqR1lHUxyKHVWAaxANwDSHw54opoXDRSKCp9QwqCK +o6ILqCayubm0uoylxC7I6niroSrKaVyGBHE9Ev6T+fXWveHyF7R+PWHwe4NvZjrufcUW3905qah OB7Rj2TuFttb8n2YIbTSf3UyhjEySMXeNmbSoQ3C+zc2bdvO97hsUUTpNDq0O1NM2hij+HT+BuIO aZ4dSRzT7Wb3ytydsPOVxdQzWl6IzJElfEtfGj8WATVxWVK0IwKAcT0Qbs3+Yp8haen+RuUo+4fh j0PD0X2P2VsHb2w9/UO8N1dudmVGwnD4yTEYOTcNFSBd2JJFBDLDTyotSXU6VTUAZf8AOu7hN9l/ eG12QtLiaJYpNbTyGKukquoVDjgQD5jy6lnYfaHlGSTkuCXlvmbdjutlbXEk9uYorO2E9dWuTwmP 6VCxqwqv2jqyDo/ffdnYWF6t7N3y+A23g96dRbR3DuDZGNQTT4reObwVNX1v2dZ5Kh/slqplkRHZ 2iV9HlfTo9yNs7bvfQ7duN2EjhmtI3aPOHZamnnTPBsjh1BfNdryvst5zBsO2NNPd2u4zRxzNgPD G5UahRe6goSKAkVCitehuyVFTz17bgwtZPtrdckUEcmcx0MUoylPArLFQ7oxcrLR7nxsauVVKi08 AYmCaFvV7PXtFetMN8ugUtwy0Byvz/yHy6z4Tdn8SykGAzVNSbf3azTSUdDFNK+3N3pFFqqKraGQ nKyxVscS658XUWq4QCU80S+Ul0sMkJpIAK8KcD/m+zpXHIsgqDwGfUfb/n6Vsom/c1lo2uFkutiG dQSb2MigfSxPFuefbdDn1HTopinSq2jQFp5a9wbRIYYybG8kljIbjhjGo03+vPPvw6o5wB0v/dum uve/de697917pNZ7bsOXjM0REFeiFYpbeh+QwSdR+tbj6/j3oivV1bTjy6BXcOBTIw1+A3Jg8flK Gvp0grcRlMfTZXH5GFip8M1BWxVVFV0rSxKwV0ZdagkccNnSO09PgjBB6ADuz5V/G/44bez26+9+ 9eter8NtY4ylztduXcVOJ9v1+dkelxFJkaDFDJ5bHZDOmJo6aN4Y3q3j0x6yD7oXUELqGr088dbo QNdDp6r0f/hQR/KFFbHjJPl/TzR/eCKeupOre4KvGIr+OF6mKqGxo0mUIguyqbKB+Pd1Dt5Y6pUZ z0f/AKQ/m2fyzu/66i291J80+hc3mqkRQUG3szvGDYuerHJEcdPRYXf0O2MpWVLtwEiidyfwfbtO PoOmDXiR1YtFNFPFHPBLHNDNGksM0TrJFLFIoeOWKRCUkjdGBDAkEH37rXWT37r3Xvfuvde9+691 737r3XFkV1ZHVWRhZlYAqw+hBB4I9+690HuW27JRyfc0Efmpj5PNCwUmBSAQY1ALPHf8Dlf6+6ke nToevHj0l/GrRSMTJGFi1qzMrLrWRbhYvqUKp/tz7acEkY6v59Af8ieol726T7M6njrkx+V3Xt6p TauXbS38D37Q6MtsfNCNkdHpKLc9DTCpjYFZKR5Yzwxv6Gd7aWK4jNHR1YceIyOGaHhUcK9M3MCX VvPbSDtdSP5f4fT59fNQ7h2XLsrsTc2EkxUuDX+IVFZHh6hXSTDPPUzwZXAFHCusm2twUtZjSDz/ AJJc/X30N5Q30cxcubVu2tTNJGA9OAkXDcc5w2fXoq2yeSa1RZj/AIzGTG/+mTFf9sKN+fQZ+Af4 /wCuf9vzf2JNXRh+fXvt/wDD6fn/AB/H+uPftXXuPE9d/b3+g+l+eBx/jb+tvftX7Ovddfbj+n0/ p/rf4f4+/auvdd/brew4BH0I+h/1vftR698q9dfb/i3J54tY+/autevXf24/3oX/ANj79q62fOnH rr7cf4fn/Y/7C1/ftXWs9e8Fh/t/rwP6/wCw+nv2rPW/z67+3/HHP1v/AE/p/sfftXXs9dfbj8W4 /wCNfT37V17068YP974/H4/PH9fftXXq9e+3/wB7/qP8efp/j79qI69/g68YPwefx/if639+1de+ zr32/wDxT6/6/wBfftXXvt67+3+tv8Dz/wAbH+Pv2rr3p177fn/in+P/ABS3v2rr2eujB/xr6f7z /r+/auveVeu/twL/APGjz/hcfj37V17/AAddfbj+n4t+Ppf/AA9+1deP29dmnH+3twP8Pqf959+1 de66+3H0Nrf617/j+nHv2rr3X//Xo68QH0B/172uf8PfSXpqvXvEP6E/1N+P9bn+vv1T16vXXiv+ D/sLcf7z7916vXfi+o0n/D/iL/6/vXXq8OveIck3AsSWJAAH9TyAAB73U9erQdW2fy+/5Zu/vkzv HDVee215KGRKLLx4LOxz0+Cw2FeVJIt2djyxhZoMbMqlsfhkYVWQNmmCRFY5oy579w7Dli0kjhk1 3jVChSNTHgQnEAD8chwvBQzcNAF+BAA4/wCx8+t1fonp344/DHaiY6k3NsrG7nnoaeDc++925nbm K3FlxTIqfZUlNLVQjAbdo+FgoKVBHFGFDaiLjFLcbvmPmy8+plgnnz2JGjtGlfJaA8TxZu5iaknH VJbq2t1IlmjjX5sB0UT5R/zI91bJ31XbV6Im2Du7bNLtfAZX++cVFXbo8uXrq3JxZagjMeRx2Jij x0FLDoJEpdpCb29yHyh7YW9/ZS3PMkN3DP4lFjqEquMnGrj9nQM3vmuS1uIotrmgkjK9zZah9KCg 4Z8+qs9xd3dq1/aW4O4kz+R2tv8A3Bm4FyufweWh2mzQZHC0WFqIoKbFPNHSiqoYI0lZPUypckEk +5ht+XNlttph2EWSybXG1RHL3itS1c0rk9AmTdL+e7bcBdUvWSmpBpNBj/B0dPbP80ffe2NhbQ2X RbO23uDP4TFT7fye79x5/cW5q/M12PjqNOUqKPHwUc00laqgES1DyEC5Y+wDc+0+1Xu53d7JubxQ SSlhFEiqEGKLU1/wdCOLnG/gtI4Fsw80aAF3Y1b1NB/nPRx6v4vdXfJbaPQPaxb/AEViPZ+K3LmN u9Y4DA7fxe5q/cbYjO18ORkloZa6mjiyVJIgdD90I5CpkuAfcexcybvype7/ALTazGZDM8eqUlmC oWUfLI49CuTb7HeYNtu7qOrKgdQDQAsAfLjkYr1YH/GI/LDrlHiaVA4DEAqG5FwdQI/r9b8/X2BR F8ujtnOTXNOqLOkPnL3LsPsv5l4j5A72q927Xwy/JWr6Prq6kxVBFt7cXxxkrq7N9fUy4zHY6F5M ntHPYyvphKZJpftXN2ZjaHdo5q3W1vuaU3q5MlspvBAxAAV7WpMWAPiQqwJqcdZU80+2HLW7bJ7a XHJ+2Lb38n7rW+VSx8SPctKx3J1s1As0csTUoo1AU6I19pvPG/DTunavbdRlu1dydWfMn4l9m7hx O9szW5Vs7Q9pbC23W5HaVbWZ2prDTY3JSZp6CUSMIEEjMQBf2Eyl4OWd0h3QtczW262UzI5yfGjj bwzxoCXpTgKdSh9Vtk/uZyrf8u+Ht1luPLO720ckCBPDa0nmVZ1WMLVl8PWD8R4A1p0dyq+G/Z2+ d3djdj/7Lt0z8TtvRfFPu3pnbvUXUW58TuPOdjZ7f2EyEOIk3rPtjBYPbax4aoMZgF5pDUrFYnTd RsOTN0vLjcNwk2W126EbbcwLDC4dpTKp0mQoqqNJyAQTk9RKvuvy/s+37Hsi857nzFenmGxvZLy7 jeOO3jt3UuIRLI8lXGoGukUr6noV/jn87doJ8ctj9c4bb3bmW7362+PdTj8tsyLqHfwjod99a7Hl pF29WZubCx4o5DI5PGRxUkUckr1DSKoAYkA05e5utf6v2e3w2t2+/wBtYENGLeWgliiPaHKhCWam nOeg5zx7V7oOdt03u53DbIuTr7eQY5/rbbut7qcHxFjEhfQiuTISo0gfn0Vbr74ZfMLafT/xs7d2 zvfbVb3D0/uWXs3b/SmQ2djNj5kP21nv4x27tnffaddmJK7MVuUo651qaaogiplVWjRRKisxDY8k 84W20cvbtbXUbbtaSCZLbwxE1JpA06SSljVipLUIp0Od593PbDceaOe+Wb/a515Z3OD6WTcFme4T /E49FlNb2qqAoVlGllbUxzwJHQ1ZP4ffIiTsz5OZzZ+L+JWE2x8g99ZnddLu3tXZmR7P7W2hjN1b ZpcbmcNgkpaKmxONWCunqZFJqZo2nAlHDcHcvI/MbX/MstrFtSWt/cNJ4ksbyzKHQKyqAAozqOGo fsPQQt/dnkUbDyBa7nc8yz3+y2aQtBaTpa2czRSs8bvqYu/bpB7AQMeVTYL8e+s6PoPpPrTpahz9 duem6523S7eXP5BPDVZZkmnq6mpFKJagUtMKiqZYIBI/hp1RLkqfcjbBsK7Dsu27Mk7SpbxBA5FN VPOlTTPAeXDqDudubZOceat+5qns0glvrgyeGpqEBAAFcajQAs2NRJPn0MbZOxFi11vfgX0/i7fk f7D2dC2Pp0FDOKYbplyy0GYo5KHJQCppndJFAlkhqIKqJ/JSVtHVQNHU0ORopbPBPC6SxONSsD7u 1kJUKShTGR1VbllbUhIcfs/2elLs7etVVZKk2Xu+t+5zlTFJHtPdMhjpot4wU8ReTDZsQrHT029q GmjLqVVYcnAjSRqkiSxoHb6wlsjXTWBuDf5D8/Q+fR1Z3iXK+kg4j/KPl/g6NLi6MUNBT01hqRAX NrEyMSzk/wBTc+0Ax0oY1JPTh791rr3v3Xuve/de6Dbtzt7rjonr/cHaPbG68ZszY22YIZsvncrK yQRPVVEdJRUlPFGrz1ldX1k6RQwxK0kjsAB9SKSOsaM7ntHVlUsQqjJ61I/l1/N0+RfzD3Fkth/E SJ+oOnNs5inx+6u083WUdFDlK6gzWcwub2j/AHhwFfnI+ytv7poIaeWAbfq8bC0rmmjmyM0ZUCfl 3k7d+Z1WVSbexJw5DampxCBe5yOLaSEUfG6V6o1ykTGOFPElHEk0RfkT5nzoM/Z1UlmeiOjMGtJH 3/2DvruvcWOxGGwMuK3ruPLSR/wzb89bWYLGzde7fhl/yTCz5Wral/vDJi8lE0zluW9zPsftXsqR Y25p3NSZJGIQnzwrIvHgFM6+ZYnpgySuf1JzX0AoP85+3P8Al6xUz/DvHQ/Y03x8wU1KeWZdi42n Vhp8erxVHZFTJIxi9P64/wDYexrF7e7aiALtlguP99Rk/t8D/P02QmcV/M/4a9MWW6V+BvaUE2Oy uwqfYVZPcxVUGPq9qxiQuBGY6qir9041SdV7VFfQU/HqkX2T7l7Z7bOGdtoir6wHw2/4zoH5LE5+ 3h1oKQf05XU/Jif5Go6Md0V3V/MG/lmTU+6Pib3PX/IH4/0FIk+V+NXdOcym7tmnCS1MOuo2TWxV xrNjVPjiEVPV4eqbFGaQI5rXIhMUb17cXNury7SzyvmsTD9Wvnp4CSg4qAkvn4Wnu60bmaDuuF12 /wDEoyP9MufzIr8x1uA/y2/5nvQf8ynq6u3V1v8AfbH7V2QaXH9ydDbunhXfnWmbm1xB5FRKddw7 Sr6mCRaHL08awzlDHKlPUpJAkYyRtExVwQc8QQcGhBBzg9LVZXVXRqqeB6sk/F/8PdOt9e/2H++5 9+631737rXXfv3XuuiAf95/4p/sffut9IjcO3mqFlqsYFjnEbB4F4E4+p0W4D2vxbm/vRFeHTitn PQWVNQ0cqM/kTwmMlJQPIJUIUK4PK21fnj2kPp06Pl1ou/zvell6u+a3YWSoKVKbb/YMuC7YwMcS BYlpe1MfWVe5oINCqipTdpbP3DOVX0xmutxce8rPYLeWn23dNnkcnw28Ra/kGp+1aj1H29EFPpt5 uIgKR3EQkHpqQhG/4yUr9nVNwhF/0t/sD/X6/n3kLXowqOu/CPppb82sf6/n3qvXq9diIf6lj/sf +I97z16vXRiB/st9f8P9a1h79Xr1fn17xCwIVvx+bf7x79U9eqOveEfgNxcf7H/G59+69Xr3h/2l j/X6Af8AFeffuvavn17xf0Vv6c/j/E+/deqPXr3h/wBpb82sf6fn37r2ode8Q/Cnn/b8/wDFffuP Xqj1694f9pY3uP6f71x79nr1euvF/gxI5/H+9f4+/dernrvxf0U/0+tv+J/x9+qc9erx694eOAx/ H+w/1ze97e/Z69Xr3hH+pb/b2H+w5sAPfuvV694gPqrf7A8/48e/Z68T17xf0Vvp/X6W/wCRe/cO vVp59dGIf6k/69+T/rH37PXq9d+L86Gt+Lkce/Z9evV694eP0t/rX/3n6/X37r1evGEfXS39Lk8W /wCN+/V62D17xA/2WFxxz/xT/E+/dar1/9ClP7f/AHv/AFP+3vf30j6TV66+3H1/Iv8ARRz9LE8+ /der12ILfgfS/wCn6X4/r+Pe/Tr1eujB9OOB9SAAAALkkk+/dernq2f+W98Ad0/Ibfu3M7kcTaKU x5zBw5WlMuD2/gYpI1fsjdtHINNRTBk04Sgcaq6oCzsDEIhLGPuDzzBy7ZPBAQ909VCg0LN5qDxC qMyuOA7R3HHhmpr2jj/q9et2vqvYvVvxl64h29gqjHbfwGLMOR3NuzP11HR1m4MrKyx1Ge3Ll6yW NZausnfTGrOUjDCNBzzibdSbjvd/Jczh5r6TyUVNBWiooGFUcAMAfmeqvNFEheSQLGvrgD7T1Rz3 38VuwMHVyd0bM3p/pz2v2P2LvtsFg+qNlz7gztDjNy5LMZmKtye4auprIsilBV05pJZVSGFHA5Fv c4cs86LbGLZt0tzaR2tuFOugYkBQBprXPHPQC3bl5LtZL7bm8aWabV8VVAq1aU+fRLxH9xRRSTx5 MLVbYlOnK5dKLxSUjpeF6aikKqYjMylLW1D3KcbpKscorpZQRnyPDHQHdWjkkQqA6yUNBXPDz65T tiovvZIlwwk/3CVimmpJspOrJUKZB5QpKG0P59uEAelKVyOvDXqTjSrChIHTiZagTkRpmZlh3EGQ RU9LjYR99QabB6nxyoWNQQPftX2mh6oAtBXSOz7Tg/Lqw74G91b1fs/G7K3hvXIPs7GbB3jh8RhM 1ulZMTif4Dk6Cqx5goX8GOpHpaOGVFl1GRgx5IPuK/cTl+yXaGvrHbV+ue7Uu6KS7agwNaVPHj0M OWdyuDeiGe7Y2wt6Kpwq008K/LGerh4c7SV1LDW0VVTVlHOglpqujnjqqWqhYftz09RA8kM0TjlW Rip/r7hI2roxjdSHHEHy+0f4eh346stVNV/w9VudsfDv46Znbfcn+mftR8RtXdfyLPyKrcmcztrZ 8vXWW3JgaLa9dtB8zXz10TYHedJTmKoNTFDLV69EQuqn3HO68j8uy2e7Nve5+FaSbgLssWSLwC6C MxkmtEkGGDUrXz6nLl73c54t9w5YHKvLol3O32P92Knhyz/VJHIZlmEaBT4sDsNOksEpU46U21a/ 4Dd67y7e2rtPevXHam5e4ajY24exdl0W9q3IUeafqSkp6baVbjMZDV0VPDDt2mpInmNDIwcRhpiV B9r7CLkDf77dbSyvra7u7sxvNGJa18EDQVUUHYADVSfXh0V7q/vXyZtHLW6brs+47dtu1i4itbhr cL4YvSTMruVJrKxIUSAcaLQ46U+3Pmni+x95022un+mu7e1NrQbvfZ+b7kwe2qDFdS4eShyIxmey 8G5s7lKOfcGK2/Kjmd6KmkMnjPj1Ej2tsubbfcr1bfZNkv7uzE3hvcogS2UhtLOHdlMioQdWhTWh pXoq3L2wu9h2d9z5q5t2fbNyNp48dhLK8l9IGXXHGYYoyInlFNIkYAagWp0bl81IQQalyt7gamYM Q1hIbW1MdPF7n2PVg+IE+fUTa9J+ADpvfJav7bspuzc254tf8ce3BbqCTTj1UyucHqHJlNLFDYf0 1fXSRYfQH26sHCgx1UsCSSesQyYIZhIoKAHi4uQPe/p6kUHVC49esbZQi7NJ+rgj6AAHi1r+3BbM TgdVLj06gvkzcjki+kAluLqWDWLcjj+ntxbXhjrRcjPl0z5N4ctQzUVYXMTmCWOanlemqqSrppEq aOuoKmMpLSZHHVUaSwTKQ0UiAj3uSwjmjeKVKxtx/wA4+fW0neKRZY2pIP8AVTo2PSPZ0+8cfV7a 3JPE2+Nrw038QmVEgTcmFnZ4cZuylpkskRrHhaGthT001dG4AETwlo+3Kwk266aB/g4qfUf5/XoU Wtyl1CsqceBHofP8vToePaDpT1737r3XRNv98P8Ab/6w9+691pJfzJ/mZvj+YH8mN+/HzZtZntpf FbojPz7f3HuPb0+QTMdl46pSnwWYpMGMRnDhty0fdOZyEeLxsM8UdbT0NNGiNCuUq2jkXlXklN3e G43GCtqrBiCaau3UErmilavK3xIiGg1FKpZzdRXehZikfh5UUzqpQnFQRimaZqRXqv7sbs6Lb8FN 171nBj9tYnbVO+E+521In2GDhjhFDUbc2PXU8cDCOKCMQZLPIEr8zKjJC1PjUgpjk3s+ywwwwyTx jwwoCJpCjSPh1LwAHGOL4YxltUpZumwABpUdvp/q/wBX29AHituZHLJUzUkMENDREHIZWvqIcfiq BpSXX7yvqGEKzTEHREpkqJbehGPsRySpHpDE6jwAyT9g/wAvAdeqOnyDamNnjLx7hqq5VW7T4PaG 4cpj1a9nvXSxYwsFt+pYmB/HtkzuDmED5M6g/sz/AIet5FOPTfNtaeSOonwtfj9wwUiPJVw4/wC6 gytHDF6pZqrB5GmpMn4Yhy7xRzJH/bK/X3dZwCBIhRjwrwP2MMftOet8ft6VHWvaG5OtshE+Ommr dvTT+bJbcafx0lT5UEU9XjXdJ4sXl2pyU8yo0VQn7NVFUUzyQsl3HbLfcY2WVQJqYbzHoDwJWuaV BBypVgCNA5rTy/1f6vLyz0I27Nyby+JfaPXH8yL4ezw0e6tmVdPUdgbUpvPQYPsrryrlMe6ti7ux 1NO7LjcxR42ogeGQyy4mvoi0UjeDHTS488/8pu0N3uKR/wC7GD+1HAOlR+oeFWXUC7CniKwkIDCS jK/4rKGU0tZDkeSMfMegY8fTB8z1v/8Axp+QPX/yq6E6n+RHV1b97sftvZeI3dhg7q1XjHrodGV2 9lAgCxZrbOZhqMfWxjiOrppFHAv7g/z6NMjB49Dc8iRo8jukccaNJI7sEREUFnZ3YhUVVFyTYC3v 3XqU49Ern+d3StVvrsnrfar1+7N29Yb82T1rmIaHK7PosTmt3dh9eV/Ze18XtLM5Dc0NPuGpq9vY uqR4ol88VVSzRtHaMt7qzhfKp6sFqaHHQF0v8znaOa2fFvzBbHoqjZ9Vv3ZnWtBnI98wbpfMbr7D rcrj9lYzaeN6+29uwb6rd0VOKb7KDEVVU7hwZTCbBqaz/B1fws0LdWB9Q9j4Tt7q7YPZ+3a6lyOF 31tXEbloqyip8tR0ki5GkjmmWGlz1DjM1TLBUlo/HV08FQhW0kaMCA4p1KCOm+BI6EFpFAP+9/4f S4HP192610i8/tulzOqqgCQZJFYI7X8M6kglJ1TTr/Tww9QPtt01fb04raceXWqJ/wAKM+tqyGL4 79hNQGGWq292p13lHSMMxm27nNi9ibbZ57XNNDSVedI/OmRz/Ue5b9kr/wCj5vhtie2YMueGVIx8 60p0VbqFSbabkfhnKH7JUYf8eUdaqBpxe/LCw03I4H9OPr7zL6fr1yEANhx/j6f62/x59769X5dd eAX/AB9Prpvf+nF/r7116vXvALf7b8D/AB+gv7316vXvAL/gD+oUf7H8/n3rrVeveAccD/kn8/X8 k+/dbr1xMHIP+34/23A9+69Xrs04NrAgcf4X/wBf+vv359erx66+3+hAP++/3oe9162W67EFv9hf 6i5/4r9feutV9OuXgBH4H4Nl/wAP9f37rRJ668A/oL8cBR+fr7916vXvAP8AA8/TTYn/AJH7316v XRpx9QPpxb/in+wPvVet1/b177f+t/8AeP8Aefe69e1de+3v/ri30FrD+v1+lv8AefeuvV4ddfbj j6/j/Y/7z73Xr1euQgHP4NzxpH+v9b8e/dar10YP6i3+sOLC/wCb+9V63XrvwcfQf7EXvf8A2P8A re/da1ddNTgn+v8ArLb+v4/p731uvXX29vxzf6/7z/X6W9+J69Xr/9Gmj7c/0/r+OP8AYW99Iq9I q9e8H44P5+l/fq9er8+veAj8D/YfX68fX36vXtXRvfh/8asv352JjYTgZc3t+hy9DjKfEKGC7w3V VFJMbtgFSrHF08ZFXlZQyiKjVYyytOrKFua+Y7fl7bZ7iSTS4Qmo4qvqB/ET2oPM54Ket1r5463o Pjl0ltv489e0W1sYtNV7gySwZHee4IYljbM5wQpGIacIiLBhMRH/AJPRQLpjSJNQALWGHu6313vV /Jf3n9qcKoJoiitFFfStWP4mJJ49J3nDdvkOufyXxO3N3dIb6w28N3ybF239pi8nk92w49MtLhFw +Zx9fBUJi2DfxAyVMKRiGzavJe3Htby3Ld2G97fd2Vv4t2rkKldIYsNNCfIdF+4i3uLK5guiRbla txqAuSRTqmmp+RXbfWkc/WfV3bGbqes9rbnnxu3M7JRYbbWVy2Jy1MMpPJkg2KbIUztmMhMdI0Ks YRV49zpa8qWG4at15j2aNt5masgLlgKYWgB08BTqP7jeZrb/ABXaLxk29E7KLnjU1JFa1r8uix6q dq2mkZ8H91UPn4p3gpJcpO1RPO08jOsap63dCRZbc/09jGONIkSONAsaqAB6UwAPs6I2ZpGldy5y CTwr6n/L152qqjHzeNs1Isu2g1oKOnxsBakudX76xzGNDJb0m/u3GpNf2U6pwddRWuvzz1jrngSS vkqY6OJTVYKtX+LZ1pm0tLHE7fboXjk1LCb83Uce/GlM0/b15QeytalWGBTrJQLVVVYaTAUNZm69 c/UeLGbO2pV5vJVMdTQNJJ9rHEJFnjQzkkEDSt2+nti4uYLOFrmaTTEpyftOONPXp6K2lupI4Y0q 7L5tStM/4Or8vjVJmsR0D1VjdwYvI4PM43a60NXis3BHR5WkigyNetFHX0cWpKWpNAYmMf8AYvY+ 8d+YPAud63O4tjqgeQkH7QK/5epGsVeCzt4JKB1WhpkV+3qqT5dUif3p/mcYYRIxzXTXxe7fpoWj VlmqNn5/H0FTIQ4OoqtMQwN7eq/1PvGTna0KXvu9AUrXbtuugP8Amk4BqOFCa4OD6dZue010zbd9 2y8WUgJvO87eSDw8aIsuRw448+ln2rvXpbufvT4SYj441+zsnv8A23ueurt+ZXqvHUQp9i9a5bZU dPuSDddft+hhxcCCeplWOGWRmWQOmkGQBjfd7rl/fuY/buDlKW3l3GO4Jna200hhaEh/EZBpxUgK a0YjAp0Q8tbXzlydyR70XvuLbXkOwz2qpapfM4a5vI7n9AwLKxdsBSzKOFGqaHoJOi+t9+9U0W3u seyut/ndvDcHWu+aiLb2H603rDg/jpPhqfcxy2G3FRVFNX4iCqxdZ5/u8hTTy1BmdpFIAYxgo5Z2 DdNl+m2jddn5knuLO7IjWCVVsjHr1LJxWqkdzqxNTXgMdCbnvmrYea5dw5n5b5l5Fs9v3KyBmkvb dpN3WUw+HJCylJCsgI8OJ1CaQFYNXu6vJnypErXk/XdgVGlRe5uFt6bk/T6+8lltq8R5/wDFdYPB 6ADgf9Xn5/b1CbJHSwLkk6fTyfXyCfyPp7dW2+WOtaz69RjkGY6SbEqlwLXGli1wf9Y+3Rb4GOtF smvXMVrESSX4YWI4sB9Cf+DLa/u4t6HpvxAMdY3rlPpaQafydVwWtqIuLcW938EenVdZJ49RWySr xquTwukeq1/qb/4X9uiH5dUL5456ivlIxwjWZLMbmwIBC2/17n3cQ+q46qWp59YKPduS2pm8NvPB ReXNbZqnlWjDBP4xh6wRxZ7bc5J0lMvRRgw6riKtigl+sdiWbzs67jZvGuLhcofn5j7Dw6W2F8bW 4Dt/ZHDfZ6/l1aFt7P4rdODxG48HVpXYjOY6kyuNq0Flno66BKiByvBRwkgDqbMjAqQCCPcSEFSV YUIx+Y49DTp596690Rv+ZX3JkehPgj8n+zMHV1FDuTHdV53AbWrKKsoKDIUe597+HZWArsdWZSem x9PX43JbgjqYmlfQrwgkNbSX7aMS3EMbA6S3lx+f8ut/b1oo9EbfoujviLtZMI1UuX3FhYd4Llah 1ORnzm/67N7Y2jV1EyjSZ9vbOwm46uHSzKlXV08im8MZ95WcmbUkNltFvprGYw7VrU1VZXr82LW8 Z8isbDzNUNT3lviJNfyJA/LHQZYDCvnMtj8PFMtJHUyf5RVFNaUGOpYXq8hWtECDIKGgp5ZdF/Xo 0/U+5OmlEUbyEVI4D1JNAPzNB1XPrnrh2HvuDblNiocTjqf76qppK/aOJycNPXY3aW3ZZnp6PcGS x8qtR5rem5np3nL1UckEUaiRo5FeBI92doZmkMjnQDRyMF24lQeIReGKHyrWpOh5D/V/q/1evRf6 3e++8jUtV1m891zVDHV5RuDKwBbcBYYqaqhgp0VeFWNVVRwAB7OFtLRAFW1jp/pR/lHVhQeQ6EfZ faOSqsnjsVvzKT1dI9RT02K3tO7NujZtYzhKPJPl4gtfmsFTzsPuqWpeWSKC70zo6aXRXO3xojyW kYD5JT8LjzFOCsfIigJ49aIGcY9B/q/n5+fQs7pxcqpHlZaWKgyEWTyG390UVMsS0sO4saRI1dRp F+ylLnKRjLZLR+eKYoAjKql0DjKBqppDKTxKnyPzU4+wjz69XiK9Dl8cni3VRb96oyqxVOL3FgZ6 +ipqlfJDFUVk+OwdeihkcBJa+fE1ri1icZYcO/sPczW0QW3vXTVGCUkHk0ZDEg+oKeIn/Nz5DrZV ZEeJvMU/b/sdXp/8Jie1cjUdJ/Lj4wVj1Bxnxw+QcOU2dDUEkY3avceFqc8cTSA6dFHBufbuSqwt hZq4k8t7w632wO17xuG3lqiKV0B8u1iuP5ft6ftJGltoXf4qUP2jB62TOwNqQb72FvfY1S6x0289 o7l2pUSPr0pDuLC1uHldvGddkjrCTp5t9OfZT0pHketZ747fBbuXZmP6qkzMGDhyXT8fwZ3ZBB1p sjsfc1PvWt+Ie4e3ts7xzTz5XYmw8fQ7n7L613+MZTUrS1MyTxGaeVoX1BgMTSg4U9c/y6dNSpr/ ADIxkf5OjBYL+WB2LtjF1+J25u/dWP2tnMp0XvHqnZWw8duaHafRG5+hO707J2lnsvh/kX3xvyWp ydRha6XE19Bh4sXRvjYm8EOvQreIf0Jr/L9vW9Yrn5/n+zq5z4/bT3psHp7au0uwtx7o3dvHETbm XM7m3jlsPmM/mDX7tzmToayoq8DT02Kioxja2FKOliW9DRpFTM8jRNI7qAhRq49NHJx0LRYsbclS bE/0IP1+nPHu3XqnrvTpIJIueLg/77n3vrXVDf8AwoM2tBmviN1tmHW0uF7nyFCJli1skG4+k+2a ORb3DJeeihNwCLoOP6DP28nEHOOyPSv68f8A1cXoo30lduDg5S5t2/6qqP8AAx60bBDwCOAQCov+ kMBYD/X/ANv7zv4Gh6U1z12YLc8fnm305+p/r71Udar17wG/Fj/T/EW/H+39+r16or114vpa39Py P6Xtxz79XPXq9diDi3Fvp/vr3Nvfq9er8uveD+tvp9f8f8Lfj37r1evCH8/gD/D6m5+nv1evVz17 wf0+g4P+x5/P9T79Xr1c8c9e8B/NrX/oP99+PfuvV694f9sfp9P9b3vr1euvB9Bx/vv97PHvVevA 9eEJ/Atb/bfUX4+nvfHr1evCH8W/H9P99/T36o69Xrvwc/i5/wALH/evyPeq9er17w/14/1v95+l /rf37rZbrrw8D/in5JFv6/19761XrvwfkD6/T/ff7D3r5efXq8Ovfbn/AA/p9L/0/p/iPfq9er17 wf4fX8f4f4e/V69Xr3gsD9P6f4/j36o69q694Tz/AF4/H/I/fuvVp114efx/S/8Ah/hx79jr1ev/ 0qf/AA8n9I54/wBsOT/X30f6Lq9deEk2On/bD+gPP+Hv1evV6ftsbVy28Nx4XauChSfM7gyNPjMf G40wrPUsA1RUyC4io6GENNPIeEhjZj9PbU88dtDLPKf00FT+XkPmeAHr17V1uM/yzPjRt/qXrvF7 7ehQSJjqjB7BkmgEVTLjpnP95981ERAK5bd+UEoV/wBUdIoiB0KnvFjnnfJN+3WSEn/F4XJPmrSD FB5ERA6Fr+LUwyekt1P4Y8JGzUV/zf5erT5MmBYk/Xixf+v1Kj6m39Pz7BywKK449F5kZsFugb78 wuT3/wBK9o7NwlNBXZvcOzMxQ4WhlqUpVqcwsS1WKp5KpwUoxNXU8Y8hBCXv+PZntZFnuVjdEdiS Kx+wHpmceLDLEW+NWH7R/g6oI3fsbf3XefyOA7J25tTaO5B/dTccONx2Uqd7H+G5KQU0Mj160+Ni 86S0EokREdQALMb+5/2jeIt5gmuIVAVXp51rxzgdR/f2Dbe8MJm16oySRQAZOPPpu/3MfeQBMfvq qx8GeenlzWK2lLjtsU7ZCjm8Mcm4KiiFIlTPPOE8azM6k2Njb2se+txeJYmX/GWzT5cfX+fSYWcx s5LwRAW4XJLVyCBTjX08usP21IBS01Z4RK1HmaV1y+4pWdRDMFDGkjkZVVfGbiwUk29qiQKLivzO f8/Scs1GIyNSnC+Z6ipLQrRyPStQI8m26KqIxWEnqpGko2kd2MqJIvkJYaiRz/sfejgVqKACuK4H WyGDGtaa/MimRT5dHz+FfWJ3JuSp7rrsv2dgW2xnJMfg9rZvArtfC7j+72ylNVZmSnyGMps3WU8P 3hjjs0cDPGCNVj7jbm7eTPq2+1cNaSKNXyINcfsr0Ldn24W6CW5twLsE6TWvaR+yv7erOf4nf1rI JAsjAlX1hHF9SNZja1/oeR7AC21KAj+VOj7XSlOPQc5TrjrbMbi3DujLbK29ls/uvalHsfdORytE uQOf2dj6yTI0e28pS1bS0FXiYa2Qy+NovU4BYn2Xy8ubRcXV5fXG3xSXVxCsMjMobXGpqqMDgqD5 Ecej225t5ks9v27arPe7iGwtLtrqFEbR4VyyhGmjZQGVyopUNw6ecJiNsbVpWodsbb21tijKqjUu 3cFi8LA6g3VZIcbS08ckamxAYECwPtdZ7TYWEYjsbKKFKcI0VBj5KB0Wbhu257rJ4u6bncXU1fim leQ/OhdmI+fTm2QChbFiqixsQob/AINYaSQT/jf2uEHl0gL1qSan9vWB6/S1m4PAsCSADytyOBx9 Pbgh9B1TxKcTXqI2R0q1nA0MBpuPqSQCfUbgA+3BBwFOqNJ6dRZMixLXcqw8n0P10WJF7jgg+3BA MU6oZT6jrimSN7BiRcBkJ40tGG1f1P0t7t4Rpw6p4gHn1HOUABBJIWRW4sGYMzC1vr9ALc+7CH5d VMnUR8m37IDaCWcByb8i50MRyNKn24IeOMdUMo6jPX3dkLAHQxUXv6ZG1X1eq+kgG3193EPCvVTL 6cOoUmUUquuYBnkPkOpeGCNYcFb8oD7cWHOOI6p4uOOOjb/EvsU01JurrzISNJT4OSDeG3FBJeDb u4qySHP0MEf6TT4LdDeYKCSkOSRQLKB7iHnDbRt+6maJaW9wNQ+TA0b9pIP2knoc7Fd/V2fhs1Zo jpz5jip/ZUfl0eiKaKoijmhdZIpVDxupurKRcEf8b9hXo54dUtf8KFtqVe7v5Snyho6X+KMmMXrH P1sOIihmrKiiw/a2zKiSEpUTU8IpGmKGd2YCKEM9jpsXYaeKmoVHp+R6etoJLmZLeFSZnqFA9aVH +DrVK3Y1NV/HjquoxoSTHnbvSlTD4SfDBjn2d2NjaaOwVdCplMfV24/Ux/r7zM5ZdWmidCDC0Lla eh+nZRT/AEhX8uinNBUZ8/8AV9vQS7Hi8mWyNJHf7rIbR3lQUBH6mrana+U8ESE8h6gIY1tySwA+ vsVXRpGjH4RIhP2ahX9nHrVRXoCO1qaY7sjrWOqkye29qVWLaxKfY02Do8W1PG1rf5FX4+aB1H6J IyPZvt5H0xX8Su4P2lif5gg/Z1qo9Og28J5HFx+bD+n9b/n2tr+zr1euSUNRWOlJTQtUVNXJHS01 NHHrkqKipYRQQRxjUzvNLIqKB9Sbe/agvcTRRk/l1utK16O5vEr9luQ3Ev3G8sLj4qhLFaqq2pti fG56qXSSr+WsyEZZuQzSX9ha2+KAcAIiaegZqqP2DrVAMD/V59L/AOK9JNL2xBURO0cdBgqqeodR caKjMYHHU6ORwFarrY2F/wAp7LeZmC7WV/EXFPyVmP8AIHq68RUdWl/8J0nyB+QX80rsDErLT4OT d/x92xBUaP8AIqjL0VD2Lk8hTuf8201PTSoJFvqVZR9L8Yic9So/Ne7mMYErA/ap0N+1lr09ti1t s/CWcj/ej/k626MDualzSeNgKaujW8tKxsXsLF6ckjUn+H6h/rc+wqDXpWVp9nT3JKbXufqPr+LH +n04t7tTGetU+XUUOSTqNyP6fU/72L8+/de4dcQxYsCfoDx/rWuBf37r3+HryalLEAAH+pAP+vzx xf37rZyOvHgeo6gB/S3+P4HPPv3HrVOqX/58Mgg+EWLdZEVx3NhJF8gD6ki607VlmCjixMN7n+ns XcgoZOcNijHxG4jH/G16Jt/P+6xx6zQj8/Gj60Plp9KqptwqD/HgD62/x954k1JPl0+Tk465CG/1 txa5/wAf9h/j79XrVevCH6CwsD9bC5/A96r16vXvCP8AD63tY/j/AB9+r16vXvFY24P+wv8AT6f1 t79Xr1T12Yvz6ePr/re99er114fqOOLj6f7wfzz79Xr1eveID+n4/B/1ybH3rr1eu/Df+g/1h73X rRNOveEfi1/8Be55/wBj79XrdfXr3h/4Lf8A1hb/AI371WnXq1668JH4BP8Arf73/Qf7379Xr1fT r3h55t9bcjjn6+/V69Xr3i/1hb/eT/r/AI9+69Xr3h/Ppt/rcf7f8fT3uv7evV68Yf8AWHB/3m34 /wBb36vXq9d+Lji3P+H+wNvrb3qvXq9deL/got9bDn/b+/V+3r1evCK/0t+QeL/63+HB97qOvV69 4ePqp/1x9Oefp+CPfq9er8uveEW/H0/2H4t/vXvVevV66EP+AHBtx9P99/vPvdR16vHr/9OpDxH/ AHnn/W/5H76O46K69dmIX/2/9b/64/oLe/der6dWcfy3fj7U9lb/AKbNzwsgzORn2li6ohWOOwlJ FDkN/wCeiY3KyJjWioIn4N551Bv7jr3C3x9v276W2ely9Kf6ZqhP94AaQj+ivWjIsatI3BRXPn6D 8+HW3jjvscRjaDEYuKOixeKx9NjaCkiASOkpaGNaelgRRZfTCg5H1NyeT7gBLVUUBan5k1J+ZPqf M9ELyM7Ev8RPXJ8izqNZNrMgN7m5IAP9fx7eFsPz6prGQOsLV7E2DBQFKHkkkMCLk82/4p7uIMGv Wi+eHVYHzI2rmdxdy7Pp8dh98V8W8dlYbb9RkNnbfOXTF1GP3TkI2r8jWtBJRYz+H0eWWdDMyKyo fSQCCP8Ala+hsNt3FJZNLFtS+taY/n0R7rZy3k9o0calEqGzTFR+2vRpcF8dthYvp+r6R3Pldzdi bTyGem3BlKzcWWemy+QyJrqWuhZKrCjHijpqeooo9EcGgFb3vqPsOXG43tzffXs1LnSFrxwK/wCf oyjhggi8COJRBXh9vGvr0DHYXwp66r8dtyHpXG7P6dzWIy9TUZbcX92pNy12Uw9bQSUk9DMKusjm kmWqZZ0eSXSGW5BJ9r9t3q8sJ5Lh21lkIAxSvTF3bw3cSwS4jBr29vDhw6JHB0b3w9TPg8f1p2Bn hh0y+3DuOvn2zszAZP7avanoctRvVZGGqfG1cMPlGiNiFYAfj2Ol5nsFhhaWSsxUalXNDTPlx6D7 7NMZpdBjWEtUVJJp6kevVu27cfnNydb5batNlIsTn8ts+HBrkTJO1PQZSfHw00kplpgKh6aOqRgx js7ITptf3G9togvY7kx6o1l1UIGQDWmcdCOQiSF4i3FaV/KlekR07tLKdR7FqsRujdOLzFS+Wr87 kMpSRVGKxNHHUR0VIIQ2VraqoZY0pQzSzSgux+gHtduVy27Xqypb6X0hQqjiBU8B9vl0mtY47G3M ZlJStdTUHH/V/qPWDOfIzqXBSNFNu+myE0MrIy4SjyGYj9FxoarpKdqBrWIFpTyPfk2W9f4olQU/ Eyg/7zUt/LpXGtzMAYbWVwfMKaEetW0jpNwfKjqeom0HJ5qnWTURNLgqqaPQVK2KUT1lQuk8m8Ys vPt79yXIGHiJ/wBNT/jwA/n041pfqCTYS/lpP+Bj/l6E3A9ibW3dT1Mm2dwYzMGGNfPDRVS/eU+l WLNPQzeKsgNlvZ4xb2mlsLm3I8a3YLXBwVP2EEj+fSEzBW0PVZPRgVNPWhFf5U+fT1LlBa4kDAIr uQQSbOCALFh9G4/wHuqwfLpsy+o6iT5RVecM6hzCzK1xpCWLPrAayhQLkn6W92EIAGMdaaRq11dM ea3ZiMDQDI5nLUOKx01XjqWmr8pVQUVJNVZSpp6KghSpneGCRq2tqY4Y+SC8ij+0PeyI0AZyAv8A LrQMjEqAS3pxPQV7n+S3Smzc4+A3N2ZtTFZlaCnyrUJr2r5RTXr4np4o8XFXtNkQuMqJDSxhp1hi aQqEsxSzXtlbuY5bhQ4WvzpXjgHp5Le6kUOkLaT/AKvM/wA+HSx2n2Jtjf228du3Z+dos3t/Kwwv jclSxVCRzoBHONUVXFBUQuqyLqR1Do11YBgQFdu8NyiywMGjYVrX16ZlEkLFJRRh0/SZFWUo8slw WlslkDancm3P0BNre1HhZ4Y6YMvUF8mt2m1XZRwC5b0AAOBZhyR7v4QxTqplHrnqI2Zi4CmNEUEv oAt9QFDf2tXP5Hu4j6oZVxnpZ9S77Ta3bnXWWqJmXG5HOHZeXYufAcLvlEwTGfSwtHS500E4DcKY b+whzxton2OS7CVlt2Dj/SkgN/h6PuWr7wtyWEntlBH5jK9WoYjM1OArZ6JtLUyTyrLSamKCQOA7 wliTHJ5AePofcKZJJ8upJZQwB8+k98lOmdrfK74194fH/OzQnA9zdXb065ramVDJ/Cqnc2CrMbQ5 QxaWb7jC5KeGqTj9cIIv78CKg0qK9MlRUBhivWg70ftTcVJ1dvX4t9m0owPcHx3y+W6c3njMvWUD VOIbH7n+765z+Uejq6uCio6bdaS42rZmBgo9yrPIsaKwGT3Ie9pcbXt8qyajBRCPxURSKEerwUZf VrcgeQ6R3Aj8aURMfDqdNcGlfT1rUfM54HoGIzlMDlUcLU4rM4TJC6Sp4q3G5TF1I1RzQyA6Kikq 4NLowIJUgi3uYv05o+IaJ1/IgjyPoR59J/XpT5ra2E7Eoj9jSuKkSVVd/BMUkAz218jVsJsjJtmh qZYV3RtDITp5DQRyLVUZ4GnT5JWop5bJqOe3A1H4WA4aiPhcDGrgf5ChqcjhT/V+fz6BWfqPIRTv Cdx7eh8bMrJk49w4qvjs36ZcXNhZp1mUAakjM3P0ZvZmu4IQD4Ln7NJH7a9eyDw6EraHW1LtUJuC pqmWri8n226MnQ1GNxOL1L+7NtHD1ujM7m3GEutPMY4YqZvXoRtMsaK4vmnrCqjT5qDUn/TEYVfU ZJ/kfHNOP2f5/l/h/l1yzOQirnpqaihakw+Lp2o8XSyujziFpWlqK2tkT0S5LJVBMszgkD0xp6I0 91jQoGLmsjGpP+QfIDA/b59WGeHHoecVmsT8dugt/wDdG8mjoajJ4F3w9NNIkVRJQfZVH8GhSMlX NTlZK9sgqfUGLFv+mqU+wJzdvlvZJLcFh4VoCxrwaSoCpXzJcCP7PG806rIzhCEB8VjRftIoPs9f s62PP5FXxa3P8b/gJtzdHZGHmxPbfyq3jmfk7v8AxlVGYqzFYzfMNHT9dYKrilUPTy4/YdDTVMkJ OqGavkRgCGAw/vLiS8u57qR9TO7NX1qeP58fz6OLeJYYkjU4Ap+zq4kSJEQ0J8dRA/lp5Ub9yJlA UsJEIIv9OeLe05LeRx090IWF3ZBWFKLJOkNSQiQTkBYqpiB6eCwWXUPzYH8e7hq46bZCMjh0qWYI Tcqv4uOCTewHP1Fvdvl1TrlfkkAng2sCbC55P9Pobe/Z6969eJCsDbgkXI54I5+lze3+8+/deI65 FQS2lib/AEuOF/Btzx9feuvdUAf8KGd8U+2/jF1XtGSWEVG7ewN1VsNM7WkqExHX2Z2+WhFrlqaq 3tE5/oAfcj+01m97z1tKiPUkRaVj6BBqB+zXpH29FG8gvFYxA5a6iJ+xC0h/mo60sPEPz+Ppz/QW uP8Abe8169er134rn8fn+tuPz/X3qvXq9dGL88fj6n6G3I/H09+r1uvXYj/Fuf6/1/3q9r+/der1 14v94/3v/Dj68+/V69Xrvx/63+3v/sP8b+/fPy69Xrxi/wAP9ccH6/j37r1eveL6Xv8A0sD9OP8A e/fq/t69Xr3i44H1PA+n+x9+r1qvXjHxzb634v8A1H+Pv1et19OveL82/wBbnn/H+v8AT37rVeve O3/E8j6e/Vx16vXhH9foAf8AX/3x49+r1uvXQi/5Ff8A24P+sPfq9er134r/AI44/wAffq9arw66 Ef4/3m4/43/X36vWyeu/H/gL/wDE/wCPJ+nv1etV+XXvEf6X/wB7A9+r1uvXfiv+B+Pzyf8AWP09 +r16vXXiJ/AHH5P5va/+29+r8+tV694vr/vXPH0/PI9+r16vXvH+Dbn6cn/H/H36vW69f//Uqh0c cf7zb/effRvonr69c46eaokjggiaaonkjgp4ox65p5nWKKJLG+qSV1UD+p9+JABLHtAqevV9Otr7 +XH1FRddbIqsq8MTPg8ZRbCx9QgVWlrqf/cvvXKKbepshuCtYBzyYzY/S3vHXmu+fdd6lJ/sohUD +k4GP9rEEHyJb1PSHcZxGsMIale4/wCAdWXPkrswUgNYqfSNJIGq1/8AD/beyAQ/LomMg9eoz5AG IsrAC9vqbqPoef8AifdxBkV6qZjnPUV6/TfTJq5j/tXLBjYm5sRb26IfUdN+MT59RZMoVEqiRioa 5YFgLLp1rcEEm1/bgh4Yz1XxaD5dQ5MmukkEsD5AANTMOdQP5tp/r/j7usHDpsyimOsUmTYyizAE Rhhex1qT/ifyx93EApw8+qmXIz02tlWKS3exMaSLyNIBLMU/25P+Ht0W4qMdUMtOB6DDsjtvEbBx sEkiyZPM5FQmCwVK5+5rp/KsCSVGhZZIaGOodY9SI0ksrCOJHkNgstdva4ZmY6YVOTT86L6mmcmg GWx1eFZrqURQKNdKkk9qjzZj6HNAMk8PM9A/Tdd7z7LqYM73LnK2mx5laei2FiJBQ09HHrLwrWup khoZCCNSIZ6y49VShugWm7jtkMe3QgDgW8zjzPFv5L6L0pNxaWR/xceLdD/RHAIB/oJXSvpXJp5k 9DDh9nbL25T6MTtPA0sqspFXUUUVfk5WW37suRrhX18spZQOZDa39PaMvM5PiStp9AaD9goOkc19 cSmstwxJ+eP2dOdfSYSvTxZDD4WeOwEkFXh6KoWQnk+manZNIvwbe/IGXKu1ftI6YFxIh7JWB+RP +ToGNz9ObQrX/im1nn2VnqVmeiyOKlnho1csT66GOaKWkjkc+pqSSna1yQ36SsiurqNjnUhwQfP/ ACH7GB6WrujsohvYxPb14N8Q+atxFPtHy6j7O7Jz+OzEeweyIoYs6BoxG4F0mmzqN5DCkssaJDLN U+MmOoVYvK6mOSOKYBXdmtYp42uLRe4ZZBWmOJXzx+Jc04gkcGbqBIo1vLaTxLFjSp+JCTQK354V qZ4NmhIr11bHX01Zip5fRkqerx8ltCu0ddTyUsoBsbkRSn8ED2jaHWNNCAR5dF/jaTXFQfy49Uw4 XdPxtzU9XtvYmzPkB33lKnbH2mY27k8nSYmnqqOhq4nymChx7UOQqcBnMOdpU01X9rNjo2oKeCJ6 mSN0hcGRnbZtUdvFcXLGMll4cCagilQccajHQikN6gDTTwwpXB48cVrXIJNKGuany6ErNTYLF1ni 6L+J9FveGTbGzM5gey904zc+9KaLe+4Zny27sRkI87VpVHM4XFZKnNRlI1ElTUU9RS1DHwxRqslt Qkmmw2Qy9iEOwLdxyc8agUFeB4E46SpcVWt3uQQ6mBQEKdPkaj14gfn59CfueL5azwQ4HrSp2R07 hKnAbUTbtPHR7LoNv4LKts2grOwsPXYWkgye4qjI0eXkqYcVLj5dNEaQTStNCt5F0llvzhY7QJAh VKfDhtHctO4jNaEeleHSRbzalOu51yPVqjNSAe01x5UJr9nHodcJ3Ntjb+IXCb97B2bRbi24YcPm p5N4U9ZNJVU1EjVD5KrrfDLFlDVRTCWJ2klCorsf3B7OLd4I08K5uY/HQUbuBII414H9oB6LJWlc l4oH8NjjtxnIp9vl69LbDdg4Pc2LxW4NuZehzeHy9IanH5THT+ahq6VZWhaogfUocpLFIrCwZXQg gEW9mUEEc6CaJg0RFQRkHovnuWhcxSKVccQcEfbXr0m4iEkIcAx6Vb6APIJdamwQEa0/qTYt7WLY mo7cdJXv6efTDmdyzDHVU0ExiqKKU1sBDnyx1uPkSupGQB2DaKqFDcC/9Ofbe47MLzbr+0K18SB1 A+ZBp/OnXrTdja3tpcg/BKrflUV/l1ewmapdwQYrcdN6qXc+EwG6KdFKgiPcGGpMkHjdtQKE1XJu P08fU+8TACFAbiP9R6yJWhAI4dP2KytZiZ1qIJbxsQ0icMkqA2YTaRyRf6qG0/Ue9GopTh14gGo8 +qJv5tX8vvsrsnelD8+viEabJ9idcbI3jSd7/HFdrHP1XyD22mF/Zi23RYuEZOv3fkYKBMbNSSPJ TVdM4mijFWsn3Ig5c5luuXbwXEdWtWw6VpUVrUHirKe5WAJVhWhFQS6e1CyGWNKysVBNcUHnQ+n7 Tw6ocq8VtDvrG5bP7QqajBb92hNPg9/7X3OJP74bNzeFc4/I7R7Zx8C1NdDldt1NK1HFuWCOeCWK JYswtNURmslyg5Y5ssdwto5LO4Eto3kKBo2PEaa9hOSYWpU1aBnU6VTMtDobDAfb/q+3oAdwbU3D tSeKLcGKq8X5gs1DWShZcbkIjzHVYjM0rz4vKU7Wus1NPKh/Dex7BcwXKkwShvIjzHyZTQg/IgdN 5rQ8es8O8d2QQiGLdW4EiCeMKmZrnCxjgJG5mZ40AFrKQPfjbW5Nfp0r/pR16vl03U1Jmtz5IQ0c OW3Jmag2CU6V2aycwuOT41qahlU/Un0j3Zmit49TsscQ9aKP8g69XhTj0O+B6w231/hajsrvLNYX bm18KGqTQV9TTVVDK8AD2yk0VTHT5aWFyAcZSzFS/orZ6YfszBfeeZLe0t5WjmEcAHdK9VArw04q CfI0LH/Q0c5XZ0oCXbPkB5/L7fkPtx0c3+Xd8HN9/wA17ujZfyM7o2bmdofy8Omtxxbh2Htvc9HJ Q5H5V73wlc1TQSLRTU1JJU9VY3Kx+fJ15jjhykq/Y0yLCHSixe5w5uO/SC0s9S7ahPHBduGphUgA gUVanQvEl2dipgt2LeNN8VKKP4Qf8p8/5dbqefocYcVPLURpDHQUxNMYFEYgjjRVjhjUAIIroFC2 0gcewSQKcKY6XqTUAdAdJUQqtrDyM7FrCwChOACNQZrWsR9b+2seXT9D69NtZXj9lwRYHSyHSLWO qxABLElQb2Fj716enXgOPSp25vhxJHQ5VmqKf6w1XAngGpgkc4b/AD0ZUX1fqB492DeR6qycSOiV /KDujtum7TqcF1lFuKp27svD0NRl8hgQUoKHK1VFLk8pJU1tPksV53o8esTSo0kxplVyIwW5WR26 Sxl5K6QeIJr+wdOxR0jDECvz6BTbX8w7sDGFP4pHT7kghpw00dTRRz1FQTUSRNIsMVPh6ymESorA NWzl/KAB9LaNqlDomcfbQj/P14xKc0/Z0a3rH577P33lKXBV+2aygyFVW46hT7Gp80gqcpN9vSRS UTR1MEcjuC9jXFdAPq1WUssjRq0hkDIBnypTzz/g6baAjgc/PrXs/wCFFXcE28e6OguuMXjq+fZu 1+s8nuyDdFRi8hS4iu3Tu3cclPkMNicjU08VFW1+MxW2KJ6uFHaan86B1UMCZ9+79YwXd5v++Ryq 3hRJCoByNZ1sSOIBCrTFOPn0S7hG4ubbVUBAx+VWoB9uAf29a6Pj/wBT9PoAAOCebH+nvJ2vSevC vXtDf7Ef0tz/AF/2Hv3Xq469oP8AvP8Ahz+Pp/h7916vz678f1/2P0t/t+D7916vXXj/AMP6/wBP p9b2559+69Xr2j8/8U4t7916vz660f77i4A+g5v7916vXYjHN/6fT/Y/W/8Ahf37r1fPr2j6cf4/ 70R/sf8AePfuvE9e8f8AvRv9P9sLH37rdeveMf4f7Yf7649+HWq9dePi1v6f0+vJ/Pv1evV+fXfj 4P5Nvrxcfi349+61X59eKc/T+n5/w9+63XHXvHb/AHj/AHj6f09769Xr2gfm1/68f0/2/vVevV69 oH+9/wBke/der8+vaP8AfcAf65+vvfDr1ePXhGePp9eOB+Lce9V69X59e0f1AP8AsPp9eeT7916t euvGP6EX/wBb/ifp/wAR7916vXLR/r3/ANYfj8e/V69X59f/1asPFz+R/S9rfT8f7f30Z6JOhs+O 22U3J3Ds6OojWWiwVVVburkcAq8O2KOXL00TC/0nylPTxW5BMguPZXvVwLfbLltVCw01/wBNg/yq erLUsB1t1dKYj+53U+yMPeOOofCx5DJOAAXrc4/8Tmlk/STKv3CoT/tPvHyJTO01yw7ppGf/AHok j/jOkfl0FtwufEvJqHCnT+zH+ToS5Mv62CvcCQhVHJLvCTrB/TyB7fEAxjpB4voem98qCklpGa8a uLXc6zq9B03vyB/j7dEIxjz6oZTnqPJk2I16XFlULzpCssh1FuQR6T/iT7ssQ8uqlyesEmSZzKFe NY3BW2sBtd+GsBcBr/4393EXVS/z6gS5NtTEy8iRgWQXCqy+MizW9LEfX24Ih5dV1n16jTZFNaWd iyINIcrxpINyt+RcfT3YRevVTJTz6Yc3uyiwOIyWWrAgp8fTvPIiHVJOUYCGCANx5amd1RF+mph7 dit2mkRFNK8SfIeZPyAqfy6rrZiqIuqRjQD1Y4A/aegI60oJs5lartvdhWpy+VmkXa9M9nixOKhE kKZCjRhZXkV2ipCBeOlvKLSVEhKy6OFtolIhUft86H/C3q2OCjoxvrhbGP8AdkDAsDWVh+J6cPXS vAen+F97R7tw3XGO11Eb5XPV6E4rCRz6DLGnoNXWzeoUmPRvq363b0qL3IpbWLXDdr0QcTT/AAfP +XTO3Wct+x0nTAOLf5B6n/B1W72h8ucvQ1zQ707Uj2e8kflh2ztyTIJWxQuT43lx2BgrMsgkQel6 t4y/1HH1EdnsglGq1sS+fiNKftag/ZXoWw7dZW6gLEpb1YVPSb67+UU2dyVNSbE7rr8jmpZSlNtv OVWWpK3IkW1RUWL3XTR0eZlkLm0FPJJUPYlYz7evNmeBC13t1IhxYUIH2lcgfMgD59Xks7OZSr26 fsp/Po/XVvev99hJhs9FDi9yUlPJIwiOiiycEPEs9LE4ElPWQEEyw3a31XjgEku36DWNiYzw+X+c enQO3rbW21PqYmLWxND6qTw+0dPvYEVPufAfotkcW0lbiplstS8iR65oI5QwcJViBVFjqSVI5Fs6 Kfd47aWGRXi+PH5Zwfy8/UVHA9FW2btHbXQimUGzm7JFPAq2K09Rx6lbW3tPlMVjp6ybVWRGGmrp 0JUyVFF40mnUoqhWraYpMo1WXXbn2oFor0kXEbCtPMfL/asCPsA9ekO5ltuvLmzkYkI2D6qRVT+Y Iz0UCj3b8pMfXbth23snqnAYOCpzUlNmdw4zBbZosctLltwww1Ah2/m6itq9uTbWpMdWJkauE1T1 8jQSwCk1zxBuO15hR7kRWUCxKSAzaVoAXzVSSV0hcsK66gjT3BQ95tDLA0lzKZCPhWpJqFxnFdWo aQaaRUHVgtO/N0dx3xWQ3V8jML1/hMhuFMXtqk2ThqbdGczmRyFDNlcdisw9NhYqaarpaCGSSRYS KOeCneYsI5PGqm6stxDpJPu8UEZkouhVZmxUA4pXSK+QIBYY6Zhu7PS6Qba8rqlW1EqqgGhIqcCu PUHFOgWrq7oDJU+IqN//ACY7E7AzrJBBTDXVQZZazItVbBSsOAqsdmqXBZnItuSemafTTlhO8sbC NUKF62exTJF9Zv8ANNIMADDVY6K0CihIamogDOoY6fF3uwaU220RxRCpPDTQfqU1AgkDSSBUnyOe u9mN0Lmdg7nquveldzbj3psfalDUYnZvYFZksjW7irKnG0Ar8bDPjsxNjc40aYOKTJUjaHqamlAM SyFdKm0stnm2+5ex2qSW+gjBWOWp1Ejhg0Yjiy4JIyK06Yu7vcoruFLzcY0tZnILoMKPI5FVGaKa Gg86DoXqfdffmKwFWnV3SexcSmmrrMcmTyGU24udyEMO14KeubbtScOMFBlP4jWTaJayZmgoJNT/ AHLKJTwLvUMMv7u2aEJRioZipYjRTtIAXVVjlqjTkVOSpn2uWYG+3OUgkA0AbT8VRqBOoiiioAyw IwMKHYc/yLod06Ozc5sGv2nWUtdS0lDSVUVNuZsnUZuryNJWwCnxsEU9Pi9vlaL7ZpZnmaMSlgdW pft1vvSXNL+S3NrpICj4wxeta04Kh0kVNSAcV6SXk+1yQn6OKZbkEVJqV0hQKZJyWzXFBjod3qGf 0uxYOulrkEWYaTcj8En/AB49iVYk7TT06JXZ80Jx1fz0RRPuP41dIbnpmb7+j6r2hS1iFReqgw2M TGvcnkPAKViPpcAe8Htyha33PcoP993Mq/ksjAfyHWVO2z+NYWMjfjhjP5lFPSoMsiTMw9ChbIde ovIUHoFyXQA/W31P+29pCaHPRh5jrLQ11TQy/dU1Q0NRG5aN1TUqIxJZQAvK2JBB/B+ntj1z1ugP EdE0+Rv8t340/L7IUm8BHkekO7sekC4vszq9/wCB5Ohp6vd1LujeGQw+KpKijwg3PvR4ZKeuyFRB UTOsxkkSZlX29ZXN9tdy19s969vdtTVpyj0FAJEOHH9EinqD006hhokXUg/Ij7D/AKh1UBvX+WP/ ADI+scjuOixW1ulfkRs9ZUqKDN4fdOR693jn485vnNYnFYrL46ixtPhNwZrb+y4sXkM5lcniIaeW pqapKZZUpw0sm7f7rX9qkEe67R4zAU1wkLT56JAxXP4YyqjyHl0ia0BqI5gB/SqP5rX/AAdES7y6 9+QnQdLis92h/Lh7gMOY3Dlts0FRs/H9OboxlTl8PVLSmSavTY1FVUONyrnyY+oqEQVsH7kd1BPs TR+7ti66jHeIQPhq9D+YmA/4yemTazg0VEP+2P8A0D0BGI7J+Wm+MjTbR2T8b+rPjri8tXigl3n8 ku1duYvbOGeUrasyu0tlR5fy01Msdy5xJQEWLg+ya/8AdRpBqsNtcyV+KRiafLAVv2uRTHHPTgtL kgamCD7Kn8q0z86dXg/E7+RD1Lunsmm7A/mCfIyj+avZ22aXC7noujdoxV22vjZtaCuhjq8FW1GL M5yvZtH4ZY3g+7ekoJUZRPRSIwHuNt03zc97m1X92xA4Ivaig/wqKKK+ZA1N+Inp2O3SLuWpf+Im rf7H5U62cMZi8bhMbjsNhsdQ4jD4iipsbisTi6Snx2MxmOoYUpqHH46gpIoaWioqOmjWOKKJFjjR QqgAW9lwAHDp7pEdh5MR0cONDqDU/v1ABFxTxGwVluC6vI97fnT7q9KU6cjFST0Cp0DUglKrdAoU 3AYOAxAbmzLckc8+2hjp7PTRUiQQB2ZiI5rOpViSoK/qRWupVTc24IPvR+fWx6dc6OGEzCbWI9Kq SyspVUQamLB7ggxqbfkX96+fn148MdUgdm9pZXO7531Ww7gyIxeb3Xn8fS4ejrnho62lqWWgrq2s pysVPLTrGUiVnQu2pkJIQkHdu4jtljKAnj+3pQGooTQOHHoK9vZOnqaqUVUsk1FBFU11UK+dZaYw SI7yIztTqJmdWjRRo1Aqio5u7mrE5p1X7OPRgetNrbbOE7n7Ly8+ZfE7F61zeepqXBVhhysmarYa 2OOpNZHTPJTvU4jF1pVk0NCVDLbhiU7i7R2wiE5RpHVRSlT50+ygNequygqCaZ6rV7f78rd3bI3T syd63cfWUW38ZUS7T3vU0+45TlqbETbuyFdiznXyLUFRQSYCXDxVtKVmjXKI8ehpIwu9nvtx2G+t 9z2fcZYL0NQPGxXt9GUdrqf4XDKa5HTRKTIVkUMlf9Xz6rLx/wAb8vuHG4Kp2bvzaW681kYcDTZL aUdJl0yOKze56eortt4asz2Ehy+Hx0+Yx9HIpmr4qNIckY6P9yWZD7l6w99ectuKrutva3cPCrIY mNPPVGQCeNeylOA6RNt0J+Eso/I/yNP8PSA3Z0r25sYZgbn2FVwT7ex2XzGfpsPn9tZ+qw+HwNfV Y3L5WuoKXJwZGOho6uhmUGOKVpBDKwGmNyB5tv3gtomKpu3LtzC+KtE6SrQniA/hNQD5E/LpO22y ZKOCP2f5+gQh3XtuZQ38UjgVg3/AqKenUabXLyvF4Vufpd7H8e5C273U5E3ECm+rA5/DOjwkfKrD R+xj8+krWl0lf0iQPMf8X0/wvT1KhqeeGoWwOqCaOYWa9jqjdrXt9PY4tL6xv18SwvYZ09Y3SQY4 5Qnh0wQymjKQfmKdZjGf6fj+n9Pp9Pan7eq/4OuvEb/Q8c2t/X+g/qf6+/dbr134j/vgP9t+Dz73 Xr3XXjP9D+fpb8/Q/wCHv3XuuxGbfnn/AAHJt/vNvfq9e668R/x/HHH9bm/v1etV694+SfzzcCwt +fp79X16312Y/wAj624tb8f1/wBcD36vXuveMn/b824N/pbj/D3qvXq9e8f9Pz/t/ofoL/n36vWq +XXXi/1v6/Uf7xf8n3uvW+u/H/S9if6Dj8cng2966917x2/ra3+H+Nrfi/vdevddeL8n/Djj+v1/ rwPfq9ar17xf1JP1/A5/4j36vW+u/FwB/h/hb+v9fxb3qvXsddeL6nk3/wAB+Px/gOfe69ar+zrx ivb/AGI/Fj/U/X/H3qvW+v/Wq/8AH/Ucfj83P5/H0v76L16Iq9HR+Eu3P4tvzc1Z49bR4rb+3IWL C8c259y0jS6BaxLUOEmB/Njb8+wXz1cNDskqoxDFXII8joKg/kzg9XVggdzwVSf2Z/ydbQa1kFNT LSRhvFSRR0yKZNKqsEaQRIApDaQEFh7jOO38JVjAwoA/YOo+aYMdVckk/tJ6jNlVjKtqi5FiQpdl PIGn6Gyjj274flTprxR1CfMxyBx5TwDwpW4RQPUEW5Vrn+l/dhEeFOqGYCvUKXNnSt3LXBb0kEuq jVex02/2PtwQseA6bacUHTc+d9epXAuVjuHUm8qalAUj6AcX/wAfbv0xwOmzcD+Lptlz1omDSINC JKDwVCHWWBDuAxOn8e7ratUYz001ytCa9Nk+4R+pCwuhEZBY6mWQoQAVIPHNvoB7fW0cmhXpk3ij Negf7Yzs+RoKLb1NMVXL5WnimdWN2tPTUCBSXJJjnyazC30aMEjj2shtzEhZlNWIUfZlj+0LT7D0 a7FKj3k122Y7aF5fzAov+EnpcDOQ0NJBBTItNSUaLTU8IUrHFR01KkSoljGU8UdPx+OLc+7CxPHz 9fz6Drbg80lSxMjn86sf856qY+S3b2WxOJ3HveKdTns1lafb21TKFmjx+qKZo6mKGT0MuHxVLJIg IKmpkQsCCwJ1tNhHcTxWxX9BV1N9g8q/Mn9gPUw2lutlaxQIMqM/M+Z+3qpmpkqKupqKysnnq6yq mkqaurqpnnqaqpmYvLUVNRKXlnnmdrszEkn6+x6oVQFUAKBQAcAPl06T1hEdmU8gq6ujK2kq6MGV lYWZHRlurDlSLg+9+Xy69nq2H48diZncu0dn7vyFRLUbmwubqdvZjIu5M+Xkw8tE1Lka2QgmStye HyMcdU/1nlieRiWka4E3K2jtL6WGMUhIDqPTVWqj5Ag09AaeXTN5At1Z3EDjteNgf2YPVkD5Wc/k raRpEufSPVchU5AuzH8/n2sjtEoCaV6x3a5elKn/AFfPpH4GQ07ZOmjYCGOaIhRcqrIZ6UrHyCB4 6RDf/H/WPtLYRKVuIyPgmcD7MH/CT0KebJGefZ7o/wBpLYRM320Iz+X+DohG/cJ0a3Zm/wCDePZP YOKq6PdNRl9x4Xam1aumx+S+7lrt41W19y5jEUueym44oqHPTNHNLFRRJh1kiQvFFMyA2/stmTcr 03e5Towl7hGpANav4bMA5Y9xOdJ0n0BPT1pPujWNobexiZWjopZxqx2alUlQMhR+LvzSpA6z7bzX x1oafduzdjbC7O7Il3AmFyu78VLVSBqqj2lgc3Q0sklFSZGjqRh6ehpZaQR0lIafJvMqUv3UYUC9 u+wxreWljt1xc+IAZFrxVEZa0LA00gjApJUaS3Tc0e9OYLm5u4YdGoIfm7D5UrUgkk1UVLaT0IGI zvYtbRrPsH4n7V2jTSY+kTGZDfRxlHV0tPWJjpa2mrsd9ti6qOjo46VYxGtVp100bMqgWBiJ9zZV +h5aijTQNJkYVU0HFQASBSnxVNOkrQ2YfTd79JIytkJUjFQCCSRU1JrTz6c9zVPywo9v5HOjP9Vb dgjFTl46NJqaP7SgjL5PIZOHM5LH5WAY7E0KtNJEIauaaki0I4kczj14OZ0tpZhc2kaqNVB5AAlm DMCAFFCRQ1XAIJr1W3TYTIkXhXDscfaeAFARxOK1UAngQKEP95R7Bk7BycKfJTM4LC7myGT3RmMT s+qytbWUsUs+TppaaPfGJOQqsfkMfXT+igqA9HicVTTyiFAodEF6ti19NCOYnigkq7CPUcEkH9UE 6WDH4eCoC2kDu6W231i2iM2zI0iAKpelDw/AcEEfiFCzECvkYhz3x62vnZ8TQS9s763r1zuHM001 djVl++wu7MfgsZhq0VWeolxdQMbk4qKBXUyVVFTyQyu0cMSEG4uNjtrlYFF1cXkEhGoA9jhVBqy0 7WoAa6hg+Q639NulxCXcQRWkyrg07kLFhQGuRU5oCajJPR3Nn7hm3RtLbW5KzGyYWs3Bt/DZmqxE utpcZV5KihqZ8c/lip5ZDSSyFNRjQsFvpH09jayne4tLO4kiKSPGrFTxUsASpwMgmhwPs6DN1bi3 uLmEOGVJGUMOBAJyPSvWx98T6hKT4jdRT1A8a/6NKWYlgSAtSa9orCwLBhILccg+8LN+fXvu+PTH 1k//AFdbrJjaBp2va09LeIf8YXr0CuW1GTWxVDod9R0kxMkqj6G/B+vPskY1z0cdeWZI2mS5RvIS 2kGJLpGZOdVwWFm4A5tb3XrfThTTJ+3UI7KVZ/EYyUljMWp7hluAQ3PBH9Pex69a6VuQ7jwuy9pb o3VvSoeLEbQ23mNzV1dCgZ5sfhMdPkKqMp6VNdNHTFYwLCR2A+vu4epAPn00Yz+Hz6oe6H2NuD+a R392NvfvTd1fjNnbE2/FVYrauDyNNTZDaj7oqMlT7OwG1cRkRUrjcXjKGkeoy+R+3eTKVSRo7hZd MeuLIPlX/Y/2ert+kAF8+mz4+/BWkxPzQn+PXyQmpBt7be3M5vraEK1VRhqP5A4oVwpMWm2KmExV Ahw9LPJVZ+ip6hK6nni0DVAzyD2Se45/1cOvNJ2al4nqw7rWtqfgJ25jumOxaj+J/GvtnLDEfH/u vMRxy5jrXP1lRNU0vQ3Zm4njjkTbEROnbVbUuY4wRAxC8U9R2EA8Dw6aPcKr5cR/m6tQbUCb8ab3 vawPJ+o/1vb3Tfz6LfuvKSZXO1k6sTTwy/Z08qg6RFBcG7WN9Uqkj6g3/HtljUn06VKKKAePTGzy KjNKpJ8JcPojLiMltKA8EsG5JH0AI96Pp1YCuekxX1C+IIreNFkjWKNY5FJL3AZAR6EGg8knV7qT w9Otjz6S/ZO6Y9jdWdg7vd1iXA7SzVcsrIkrrVLRTQY/xrIGDTPXSR6Esxe9re/VovCuethdTAda z2C7G/i2dOJlmxjV9Z9/SGWs/iNLWUiQxSZLI5Px5HGfaVAq5mnkeQTW0A6bABga6iKKFBNBShr5 efp06Rx6VtXvTHQZCGnSqmrsRJ9s/wDHaWjM0WQoQY6pa377GU2SgjjhiJ1F3WzrpBKn1XDAg5z1 o1p0eE7927sn4Jb23xJlYhW9v78fD7UxxqacVs+38MlTFLC5Q2rEra6iyFHMQPF4mdnKLG49gDm6 +WG+2y3jlpPEolK/Njp+zAUj56vl0hu2+D1p/PqknpKXZW5O2untodm0lBnNobu3qKSbB5GVaem3 pFBJNuGl2nXVaTUzUWDy2f6/xUXjDE1UOc0NaOeMOHfcHmTdNi5H3rf9mtmnvoIkYxoaMVZwrgHF CU1CtRpqWJx0mnmkisxIrENqzTHnTiPXh+fV3+Z+K/RXc3WtNtnc/VQqt2ndE8mMmx8VPgcfg9vR zrPiMXh6/av8DzWLkoKyJCUjlEH7asUuFK4Zcg84c32WyPe7Xvm8HnC53CVmWeYXVn9OxcRReE9Q hWuStK045FC2HxljpHI4kJ9ainzBwfzHVIvzp+JvaXUPyL6o+L3UO+d6ZPFd6pN1vW4OXJZHdtfH SDKUlfkY8zUTx1G6crtzFUW9qitnpautq0x09NUzpJErEpnPytvN9f7eG3mKNd1j0q/hgqpYRoxI TOmpbhU8OPRvazM6us1PGVtJIFNWAa08uOQP8vSx6N/kw/IKk7Ih7L6+3X1F2XsXCVWZr+q6rcn9 8trzdsY3+7dRSUu8KDDPtLOptuhxWayLmm++s009ElQviheGX3BXOH3uPbzlb3Ai9sV2Hft05gYP 4jWFoZoUEdTOFd2jMxgFPHEQYRklSdS0Ilt9muZbU3RkjSOmAxoc/livl0BHfvxeyXSIz+5u+/ij nthYXK5TF5jL5rZ9btbN0uGgeoxGO3iu2s/1/u958TgsTRRyVeNrqvBySHK1CtWQtRtPG0x8g+6f I3uBbHcfb/nG1umiQahFIY54gdPbLD2zRmpAowXyPmOkV1ZXFuWW5goK8TkfaPt/4vqrfZb763pu PIUWzY6ilxlOtVlKilyVfU5nG7VwAmaRGy+XejqKqpipIyEM/hWWoKFhGouFl+795OY+RrAX93zZ d/SKdISQfUgkjhpcO2AKgkgLTj0ecme3W+e429JsHK+2pLuDLqLM4ijjWoWsjkhVBYhVFCzMQqqT 0aiTortnC4Ch3FuTJdXY3D5amWswNbuDObm2bJm8fMoeDKUwze0KOgoMFVNeKHIVstJj2kRiagRq zAR8t/e4+vS2+ts7V2dQQJA1pIwOFILM8ZqQTUA14LToRcz+wHP/AC3cX8L7V9VFbf2klpIl0iEf Er+H3o6+aMocfw0z0BmT3fSbdzmT2xu/EZja24MJWVGOzGNyECzy0FdTuA0LvTO3nikRg8c0YeGW IrJGzxujtN22e/HLF1pG47dd2xx3AJKnz+Eq4p8kJP8ALqHpNruEJCkGn2gj1qDw6cKLdG2K8f5L nMe1gxtJUJTkFT6gpqPEH0k2Om/se7d7h8lbqyR2vMduJmpRZCYWqfKkoSp9QCadI2t504xEj5Z/ wdKARLIoaNldCT6kOsXt9AUDLcA/19jCGWO4QS28iyREVDKQwI+RUkHpkgjiM9deL625/wAQbj/W +nu9fLrVeveI3va/4tf82tz79X9vW+veIf4/73/r/wC9e/V61Ufn1147HkHix5tz/wAR+ffut168 UPH1+l/7P+HI/HPv1evde0f7z/vf9b/4e/Z6917x/wCJt/vv6j6+/da4fb17R/rj+nI/2HNvzf36 vn178+vaP9c8/wCH+uebc+/derTr3jueRe/+P54/w/qPfvz63Xrvx/7z/sR/S30F/fq9a4de8f8A j/r2I/31+Pfq9eqeveP/AGwFz9PzYf7Yge/der1//9es/wANufr+fr/xse+ileiLqxD4C0gXL5qq JUht+ddQspHNqZc5UAhvwQZjx/X3HvuE5+itowPiZB/vU8K/4OmbptFhfS+Yif8A46er2p9xRskz mTV6Y31klW8jazpBstgGtcHgew/9MwJqtOopN4KHOadJvOb3x+Hx1bmsvWRY/GY2jlrslkKhgkGO paMvU1FROyq7BVp0vZRqb6AEkXbvTabbZXe47hOsNhBGzySNhVVBViT6ACpxX0FadP7dHf7vuNjt G12zz7rdSrFDElC8kjsFVFHqWIFeA8yAD0Cu2PkV/fXNYun231v2VLtfJzVATfeWwcGB21DSGKSe nyI/iNVFkaqindFVTHDdjID9B7AnLnPi803+2x7LyVvb7HcZF9LbCC2VCtVYeIwlZXoNLBOBHr1J /Nfta/JW17pPzH7j8trzHbD/AJJlvdNc3jPqCtEfBjaGOSMamcPLjSRxPT52Rv8Ay22OvN3bkwJp ajNYHbuZy2PgyEcktI9TQ0Uk4gqY4JYZJKZhH+HUn+o9jDnO4veX+UeZ9922FHv7KxmnjVwSjNEh ejBSpIIU8COgL7ebftnNPP3JnLO9XMsW07hudvbStEQJFSaQRkoWDKGFcFlIHoegJ3r3HvLOUXRG 39pZuDaeX7io6auzO6Keigr5sDj6TA0eUrhgaTIPLSDJVMk7JC8qyCJQAOTqEa8x80cw7jB7PbJs F9FY7hzQoklutAkaGJLdJ5Vt1bt8VixVSwbSAcE9TFyhyLyts137+cx817VJum08kymGKyaRoVuZ 5LyS1hNzJEVbwUVNUqoVLk1BAFOoOzd3742f3LW9Xbg3xluwdvZ7ZJ3hgcnuOnx0WexNXQV4pq6j qJsXBSwzUdSqyWBXStl0gG91XK0nNHLXuvN7fb5zNcbttF3s/wBdbyXCxLPC8chikiYxKisjfEtQ SMCuDVHznZ8m84ex1v7s8u8m2uw79Yb8u23cFo8ptJ45YTLFLGszyOsi0AbuNe4sT20MLJmZWXh+ A7SJZeIyfwCSdQF7e8gBYqB5dYw/Vsx6Ru4auSSqxdUWNqSuo5gDb0n+K4pJTwOFbyi/+A9pbyFY 5LEkUTxaH81NOhTyzM1xZczwgfrNZEj8jn/COnqWolmjkjZiVlV1Nj9NYYEgA/gsfZkYECNT06CE dwyyRyk/CwP7CD1WD8mNm5PN9bVq4+nknrNi7hXcNdRxpJJUPhUoq3FZirSMKS/8LE8FRKB9KfyS fRGsm2aZbbcNEpAEilK/0qggfnkD50Hn1kYkiTxR3EZqjgEfYQD1W0IwfpciwIN7gg/kNex/2HsZ 9W65JC8kkcUUbzTSukUMMSNLLLNIdEUMMUYaSSWWRgqqoJY8AX9+JoCSaAde9erZ/jh1rW7a21tj bORiEeRNbU7p3HGpLfw+Wuemf7CY+pXmpaSjggYg285cAkD2CbuYbhuJePMeAP8ASrWp/Mk/y6K9 8v123abu5Y0fSVX5uwoB+XE/IdHllqhEkkrsQkSNMwtcqFN25vydH0HszLCMEtwA/wAHUDRWzSyR QxisjsFH2nH+E9NOL1Rw1Mz2LS1GjgWLiCNBJa4BK/cs9v8AW/PtDtlfp/EIzIzP/vRx/Kh6E3NZ Vt1W0jYeHawRxfmg7s+oNR0CO4Knuml33uZdj7C68rNrHIYCrp8huSCtx9Tl85lcFiKHIbnfIY+r nnqxgqIVVDVlaWOWOmEIRpv3IyWXB3dL27+hsbdrcuuXqCzsqgvVak6RqVqrWmkA8R0ihTbGtbcX V1KJwrCikEABiQtCPxHSw7qca0wekOMV8ppsum4Fquo+tVnwZoclTtTUaT1MUVRTjHVmR8NPmp5c jt6nhkMMArpMaKUrEpjkllaJF4PMn1HiK1lBWKhoMmlKMRQmqmtF1lKU8yaKtexCPwT9RPRqg5pn iAMYNRU0DVzwABgyVG2dut9/vr5G4Wq3BWZnamRjmhrTuCBc5gMZuLYeZoKTDtWS0NLHu2h3AA9D DT00tPXRFkM6xAisgtotRvuYF+oLoQaggMitG1FPb36tTLQUanECvVqTTUS02hhEFfFKYYrICWoC dOmgYk1U0xXpIfwbpnq3eWTocttvtjP7k2/DmdtUEi5ePcFLuLG7t2jiEzFS+Lxk1PHS0lVgMxRx yS6Wq6SKB5ZFjgp2YoBb7Ttt5JFJBdSToGT4tYYSKpY6FOBQqKkYz5DKvxtwvrZWjnt0hejcNJUo 7BQD9oY0wGwMlunpkzCYrD5Lrf43YeaOqyeWlXBbtwE8lZkP4Tntsz4WTJ7lyddHR7TNVhMjU18V fJFVRvU0XhjU6iPbhFwY45Ns5djKlj2yLkkOrCrE0QMCWDUNGWhFOqgxGR1vd2bWFHchwO1gdKjL ZAFARQGvQlUzfKDIVFRVYvE9UbBo8gKapm1xmpzsdVUUcEtZV5CopajM0WRqo8mzLKskIeSnRkjm SVlkQyEfMkrM0Mdpbq1DwJatDWpBIJDeo4cCK1CAjZEULI88rLUV/CRXAFaECnocHiCMEXdnQ7xw e2ZY+wNxY3c2fp5szVtmcdQNj6eooizz0McsBSCNKqmgDBjGiRgWABILsd2i3dva13C4WSZKksBp GniMcMDicV9Oi65FvNKBZwlI2oACa5p6+flxz1srbRp5dofH7pbZEYKVdD1zsOCujQerVS7bx7zw sOQXkq5HYj/D+nvCG8uPqLm6uCcyyu3+9MT/AJesnrSIRQwxgYRFUf7UAf5Oo0mvUkiuQqIo8YJM rnzBWNwyaUFxa5IPtIpHn0r6lVAYSuNIC1GhVNwAdQdWvpu5B1XII5PvR/l1ry6x0etUYuVaWKbm RSAJDLcMAt7c6T9fx711v59Bl3Zsmq7T6a7N63xWQpMTlt/bG3DtrF5DIiX7HH5TJUE6YupyAgR5 jjIq0IZ/EPJ4b6effiTUUA61noqHyb6661+AnRXx/wC0On89T7d766vkxezNsVieNofkFic1OM92 jtjsihidJ8vtivD1OVhqvVNhaswfbsupFN9ACrnu9f8AV5dNAl2bV8P+D06Xve3fXxt+VXwhyPyN G5MhsLenT8tPuLZORwlbQJ2x0x8g4aaBcBtfHSDUtVLuLIVMNOyMhoctiJ/NIojUmLxAdRXDD+R6 0AyNppg/4OlV8YfkV1b/ADHOgd1dJ94YrAS9jJtiPG9pbMppEjgzVDKq01F2bsQveaiiGTVZVMV6 jDZJFVjpMLyew4aNjn/D8+tEMjahw6sJyK0+w9h0WIx89TMmEweN23iJa6o+4rqhaGhhxtJPVVMm nz1Rhp/JI5sWcMfz7sexadeUamp5dF+gllVFZnSQsJW8qgsrEtfj6+oXNyRyT7aB6UdYWkmbxSXf R/mEUskrID5ATpBIABJJvY8/T3RnAND1vgOm94neXxNpuI4mVBbWXUlJFUIx1AHk3+gt+fewQ3Dr 2OiC/wAzPsTqrrHovbWR7z3TvDZHWWX3tjcVV7t2hVYeYQ7oSmqshg8PubbuShqazP7bykVJUSyp CiRRmlHlljLR3sjrqVZQakHh1ZDSp6qCxe2+g+2p5Mt0N87+r65cs2Wqf7t9n4/PYSrcbvmzEmao 4s9TVu88fiaSnG5qulgp44IY6eiQLG6lRd+Fo4SUifj5HB6vWtKCv59L7C/DfunZeJ/jW2Outm9u VFJPuWeryXVXYOO3dU5LEVm2qaDaj4Wiw2Wps/V1+J3NSLXtBUY5BBDENDvJKwFnFz4i6F/SpmhB z1uo88dDvuzO9U77yXWvSPaWEpUi6t7g6vxG5aqGlWqze2sVTbW3x2v29g1xM9TMxauy8OJwGTFT FL56pZ3dREVPsKXNpDcTTS3MYZTpGqmaUJI+XdSv7eqSoJAqUBI/w1Hn9nVcfz++QvxO3v8AG7Zf XexOs87Sd+zbvwm8M1vzIRVm3f4bQxJumGoqaTbwymXxWLq95UlZSyouMqTH9lS0TSlXhghRRaWy adP04EbKAwJ1K60IIZSCCDXIOCMEZPVHRSHFap6UH+r9vS2+In80PvbYvXGQaq6/ruxqDZmU2zsm XPumypJ8/uWrigocNSUGY3luTa6YvO18k1OZ0kGSgaRmljjKs0EcdJ7W2W2b5cbnsd3Ha2E8wke2 8FXjU5qYXLa4wTQlDqUNqIoDTorG3yxSs0FwFhP4Cuqhz8LVBA+RrTy6CPo35e1Xavy07a7s+QTP 03uXbnSmZOxsH2DtnKZDBDD9i5GtwMuQ3buXMUISkwm7s7VpjM1lTFBDVplmhiEUEDhW+b9r5gtd vsU2Aym5NxV3jID1JyxDcVIORQgUpTh0IuXktbe8kmvY43iEbHS4rqYimocKEcf2dWcfysP5m/Un yD2gvVtXIes+0ek8PSbTpS1c6bc3p1vjKiq25tzeO1ZpquuyNJCmGxtKMvSzoRQzSxSedopUKcwf vHewfuP7Se5V97v8q71eScv7rdTn6mJ5BLt7Xepp4rkIGVYpHZtMyKFc6Q5Rwp6Fm2bpZXdt9HcQ qrpQU8mA4Efs4Hh0q/5s3cHWCfGXP7SqK3C57L10tdJhK6CelqW/i+R29ltuw4nBV6idMjk8zSZW QZGGAyeHHB3msStjv7nGx80bfzpb8zxxyJscbyNLKVYeKjW8sKhXpR3mkKsVJJpEZHAoG69vcsMs QgFC/wBv8uqAe122h8W+k/jn0XVZzdOJ3RutpMvu2GvwWKwNfW7j7ZpHGQfK5qmyVPlF2LjqTEjF JQ5GIywAvLPeORQmfG/rvHuft8t3s1sYZLIuVHiAidJF0umODGMIwUjIc0Ir1PnsNznyx7QbrHc8 2Sh9v3lIwXERZrWSGQtFI60zGSXDOnejKHpQGj3Ud3by3fluvjv7cVbvPFdSYyi2ZtjA5yalNVhq LGzLTpsyhwf20NdX11dPenqoJI5JHDH7h9I4inx+Yby/sYL+CaS4tVWOONhQppOFApn09KcSePWc z2/I217Hv+4bLLaQ2+6NJNNNGwKyswNZC2ohQoyCNIXiAOg43PtDpDM7miz249v12f3Vi4lxUH8E zEWVwVFjtt4zKYqgwGSoJ4ptvpn9k5unpMXJR1b1cjYijMgQaIWE9c28xb9y7yVtQR5Y7hyscrx4 kjGgsuljUAsV0MafDgZz1g/7Sck8m+4nvLzW88dtcbbAHuLaCUHwrkieNHkZVILqELyqgIGtkLAo pHRjZqPo2fq/qjbuwqNF7M3PB5e8Krc/VvWz0FDkvvWpMXR7Onfbko3FiaaiJklocgklLKioFmjn ncRx5tvP247fY7NHZ73cT3Td06XIVkBYk0jOCcZp5+uSOp95i9huV9+3rmq43bk/brDZo+2wewLR SSKFqfqUB0KdVKMq6ga1QqoJJJ338YaLZO4O0s/h+0dkdX0u1dqpuqg2Xk8nk8NHuLKxZbcGGzuI 64etramRqSvqcBHV0GN8tbW038TipWUwwCoOUPLPMm4PttpuVjdTW8z5JikeOpB8tLDzoRWuKY49 c6+cOXouX+Ydz2hHEsMTAozDJV1DqrYrqVSFYjBYEigI6L7i8hSbRo8D/pL7jrMXnNx7exO7aLau H6yqN/VeHwu5KKLI7fl3dlK3cux48dU5TEVUFatHj5MnNBT1EZlKSP4lk3b/AHW5+206Y9+NwgNN M6JKPWpbT4meGG+w9BB7G2YVMWk/In/L1I3hv2q6/r8XSZmlwu5MTnsVR7j2nvTaeRrY9v7x23XV Nbj4MpiqPN4+kyuPrqfJ4ypoq6grIoaugr6WaCaJWQFh9t3v5usYZd45dgkI84pGjP7HEoI4+Y/L pM+2pQGOUj5Ef6v8HQjbXlqd07SzO96fG1OL25g8Fnty11Xm6jHYySXB7Xk2tFuLJ4ikqayOtzlH iZd5Y1Xekjl1tUaUBZHVR3t3vhyXdkJeLd2kvmHj8RR89URc5/0uOJp0lfbrkZUBvsOf59Sq4U+N yM2GrqvHwZWmixstTQGvomqoFy+No8vjhLEk7uks+PyELlCNaFtDhXDKB3tnOvKW8kDbuY7OSQmm kyKj44jQ+lsfIdJngmioHjIr14xDUOLEi4vYXHAJH1uAWH+HPsTipUMBVTwPkfz6axkefXhEDyLC 3H0uLk8cXP59+r5dez1x8X1/wPPH9Pfq9e68I+f96+p/1x/re/V611y8Q/1/zf8A2B+n+J9+r1vr rxfT/H/H82/rf36vXuveL8D6j/H36vXs1668X9Px/T6f73+ffq9a67MP+H9Tx/tv9iR79XrfX//Q rWI4/wAf99b/AHj30T6IerA/g1OIJdznV64N5bFqCL+kKYcyqkAH63jI/HHsAc+KDBt5JovjRVPy FzCT/LpJudTtG6AcfAc/sQnq0Lf0+6MxtLcWO2hm6jb+6JqKeTA5SkSLyR5GnZJYKZhPHURmnrzD 4JLi4WQkEGx9lPOey73uHLG+WnK+5tZ8xtAxtpRpOmZe5QQwK6XI0NUYDE+XUY8hb7y1tHOPL24c 6bMm48prcKLyBiw1QOCsjKUZWDxA+KlDQsgBBBI6J9Hmd1/IvC9m1dI+Qomx3VeI2JT7elqKmnx0 u/5qk5zdMElOZEpXmMuKWkSR1Zkjnt/W+NFrPv8A757T7jXO3maCS35di20WrMwiO6l2nvVZCQpa No0gDnIV/KvWY13a8s/dm3/2ltd08C5W55tuN2a8RUeYbIira7c6uAXVHEz3TRCgZ46kHFGjblPv 7I1vW/8AdjJ99ruvF5fBR7wG+/JjtgYHBUcaxZrHx0zw0tHW04ji8dKkQkd4uD6re0fL23c6X1z7 fDly851HM8N5bm//AHirR7dbWyKBcw6SqRyrQFYVTW7ClSCK9LeaN39vdtsPdNObNs9uH5PurG7O 1naGE+8XV5IxNpN4gklmhap13LSmNVNSO0mptKr+Nbr2zvLD5rCxYKXJJufAYyF8hHkoq3FVNFNQ 4jNzS06XpDW+bySQMpkhUW5PvKaW33fmbl3mnat32UWEs/1ltCDKsokgZWihuGKAaPFVtRjyyUox r1hbbXOzcoc18lb3sW+vuMFq1heTMIWgMdwkiTXFqqu36nhFdCzCiSHIoOg7PTrZPYPWm3MvuKqw 27+tKehkwm7tt6XejyVFTLA7UsNdGgqqSpiiQMkipqZQR+bgT/Wjk3DkX2+5f3HfZ7Xmfl5IjBe2 tKpMi6GISQaXjdaBkYUNPIcZNHvmu1e5PuvzPsvLEF7yTzXLOt1tt8SBJbzSGRVd4WBjmjYllkiY 0qRnFFLtLrmPb24sjvLN7kzO9N5ZLHQ4Y53Mw0FJFQYiCRZlxmHxmNijoqGJ5QGlNmZzfkXNxRyt 7dw7BzDuHOG775dbtzbc2627XM6xRiO3VtYhghhRI40LdzUBLNkny6CHOnuncczcr7XyLsHK9jsP ItrdPdCztmmlaa5ZdBuLq4uHeWeQIdMYJVUXAXAIEjy3PBADGxAItz9Pp9b29yQSMZ6inQQCaZ6a stEtVSPcsNCv+gFn8c8MsE0kQHLywK5lT6/uRrx7Q38JubV0jzICCv2jI/bw/Po/5bv02vdreaX/ AHGaqP8A6RsE/lx6zUNd95AzSEeeJTFVrGfStRpRtULLa9POjiSJv7cTA/n3a0uVuYEYYalCPMEc QemN62h9o3GS3angk6o24hkPA+hoKA0x0itz7UfJSpmcTMtJloiuoBhGk7oNCFpPpDPoYgk3VwbN cEj2nvLPxS0kY7jxHr8+hNy3zQNtjXb9wBNmD2uMlAfIrxK14UyPs6KBu74vbFz2Rmr59m5zbNdP NJLVjZtStBiKuVxcyxYqWhy+MoWkluzfarDEb8Rr71FuW52qiNm1KMDWpJH+2BBP51+3qRYd02u5 QPDfxMp/pgH8waEHpV9ffG7bW06uCvwW2JqXIx+j+8e56w5LI0wktqkooJYqaChkt/bggSSxI1gH 3qW43O+GiQ0iPkBpX8/M/YSfs6RXvMmzberF7tXkoaIncxp5VHaPtPRrMJg6Hb1I0NKQ0snqnqiA GqZEcnTYXEcSsTpQfT6m5N/au2t0gU1NXPE9RbvW93W9Th5F0wL8KVwK+Z9W9T5eWOpVdNJNItFT 6fMZWEurmNRHocOUH6o4bh5L2v6Y/wC3wmvHNw62MRycuf4V/wA7cB+3y6W7Hbpt0LcxXg7EqLdT +OUg5+apxJHy6mRDxwwxJwkSlI/M/LR2Ka3IuHkvyf8AXv7MVVVFFwAKf5ug07ySySSytWV2LE+p OSegj311rnd3V+UfGdl57ZuOzEe05ayPb1Oy5qkq9qNlV/3D5iXKRQY6kzlPXoKuMUpd5KZDrKsV 9lN7ttxeNOEv5IY30fB8QKEk6WNQA1QDjy6X215FbrGHtUkZdXxcDqp8S8TpIwa0zw6DaT4vdcQV 0WZz25925fCUOBrqWqx2dzogp0knmNXU5eo3FE1JksdSU0Pl8lPHJBSMZJJJQxI0l55ZsNYmuLqZ oVjIKs5A8jqLVBXhwBC/LpYN5vCrJFBGsjPWoXPpSnA18jQnoC5O6P5b2xtz00I3z1s2VwkmRjP2 rbq3dhWq8iiwVVRlpoKLPYXN5CnjiCQTzyVDUnPiKEk+w2/MPtlYXUatulp46FuBeQVNNTMQGVmx hmJI8j0cjZud72An6K48JwOOlTQZGkdrAeZAAB8+jjdbd8dQ9qhpOtOytlbwrHPmekwGbo5czEkS FUeow8hp8xFHHELNrgso+thx7Ge277sW8KH2ndYLhqVojgtSnmvxDB4EY6Dd9tO57awS/sZYl9WU hf28OPz6FM14f1EuQraCC5LazccXNrC5+v1v7NdANfX8+kGmnkP5dRZKw2bRcqFeRweCNDBHsATc k/1HPu4UDqwUkZPT9s/AVG/N8bN2DTANU7z3ZtnbMKqTqEWczNLRVstzewpse00jH6BUN+PYb5v3 D918r75eg0kW3YL/AKZxoWnrlq/l0dbBZfWb3tdsfhMyk/Yvca/kOtiHdeUNblqtqcRLRUBko6BF QwqlDSuKaiCG12Qxp9LfS3494UHFBSgHWSKVAr5n/i+koKuSKKJQAUTyNpeTS0bROpZLE2b9RH+H 596rjq3U2oeVU8g8njUJIzyFpI4mVraAOVVXZQVVjawJ/Pv3EV698um+nrJUrWpmqovK8L1xoTIn 3Ihp5xT/AHHha8op1mkVS4GgOwUkH6+zQMTjr3lTy6mrM0iQIUn8kayRKQpZjKZNaAhiSSFuFI+g Nh70GHr1o+ecdFe+TfxM298maPb1Vubc28Ns57ZmOrcftzL0TrkMVjcbkKpKuspK7buQljx4Wpki BaohkpaplCK0rIiKvlJGEzT+XXsEk06rN3V/Lt2L1YlLu/tr5e9fdd9aSSrj23LnqDBbYp6urbzh 6Y5XdW+qPblVlhSeUxoXmqFKyMoCl/fi7cKDV6U68aZ/z9H0+PHb38o34XdHbu+RW0flB1DmNo4f L4vrrsXv/J76xO/MvTbkyarW47r6NtmxZOLFT5L7Q1EWKxdLrq1hMz+bxGRXRpXLHup0wxckj8PT bB/Oi+BPetJWZLqztXcW7Np4DtDpvqWty9L1h2Nicd/fDv7dVXsfratE+5ttYOGr2/XblpzTVdbA zx4/UjTALIl23c1AI/Z1dKKC3SV+V/8AMw6k+JOd7p2puDYe799bj6P6H2B8ityLtev2vBia7YG9 +7sT0VWw47I1GWnqIs5tDcGZirsjBUUsafw8gxyM7Ae6mq6AeJr1csBUHiB0Trtr+bV8rNtf7Nhu Pp/4OdX7i6h+HXZXa2wuxexez/mFsbrzMbpPU2Hoty5yo2H19V7bG5sjX5XaWRpavHQAyGpkqI4U eR9Q97opORnrRY57eHVyHW/Y2K7W66677Y27BUwYPs7r/ZnZGFpa7StZT4zfG2sXuago6go2g1tN T5MJKVAXUhtYGwogpqqc16uuRWuOtYf/AIUud1VE83xs+OuOqaiWSODN9qZ3H07vK0lXmKv+7G1q cxpqkmnWPEVLRppJY1Q0gk293ho0xIFSi0+wnJH20APy68fhp5k9UD4LZe4MH09h9xbkptlGDB1m /f4fhYNzZbbHaOKpcE9dmdw1uamonyOCno4cnjpYaJK6glYPIkaSSg+FH3WJ9R8j8sdayOPEdYqf 5C9i9N7qym3E7E7Q653Dg6qmSofC5rFb1oYHkhgyAjTK7bym38XHNHHUKjL/AASoaK5hngEsboGh CF/szpHyxx4Hq+sg0rn/AFf6uHRqNnfKs9h7yxO5qrfO2afsKtyhyed3Nit9Y3aGS3xlcpVNFlKr cWL7ZXrOiwIyPlR8o1FUZWnkRpWhpkbSrpzC6gwhaxn+Xz9et69RqePSL3Z2pR753XuTLY+eGtxF PX1GJ2/W0sRplfAYFp8bgajQSJj5MNRxklpGsD/re1UcCpENJ4ft61xNPLpF/HjafyF7p7i210t8 cqTcW4uwew63LYjE7cxk1LJimp8rQT0+487llzEcuDweLxuEV5qzKzCNqKCMOsquIwasi8Dx8utG ig1OOttrYn8hntHdO2d84Tu/+YRWx9q9gdcbW2r2ZtTqrqvr6s29idr0UG4KPbNHr3STuaWh89dk wtfSU23zkJJq26Xkkskm26Cfw/GVSymoxWh+WR1Rbp4w4QEBhQ/P+XVE3yN/lOdyfy7vlFsmgrM7 P3B1vSYfIdwYrfHXeTruqNzZPam1a1qHLbQmlqc1DNtjeNZWtSQvHjspWRyUNW0qtqvT+9Txxusl tcRo+tT2kVVgcHUpqCOHEUqB6Dq0bFhrUEUPRD+zOyOo+zcbuLsDNdxdpxbxos9tmHamG3ZuDcnY KwYvL9mZmbNV1ZDu2WfL5HD4DqtcYZKCkr4TLkKaoWSoAmhJQrs9tDEkFtYwpEMBVQIgGnhRAKAN 5gVHl060ho7NIagV/n+Xl0eDsfp7bXzZ7MxG3ct2Vt2mx2D2jv3dW2twZffOY23gcbgsVSxzQbep 6TeceeTEV+exeEp5KChkqnpo2rZpm0l2UQJt/Ou4bdvlxtGw2m121nGZXk8Qt3GIsGUv26HagEYW qhNNTU0Gclr93zl2X262zmPnG532fd7hbZYls0jZYFulQoyxlWaVI9ZMrEqS2pFHBiWPfO297Yrq zdGW653z2HgKbZO3pxkY9x7k2xuLKVu1sf4YcnjaPfNBtfbG/MBPQ0NSk9JT/cVuLroI5YIp6aoW GmqJB5P562Xm+7ZJdmS33VRgUVtVBUkMACaZoeJAJ6if3a9keafajbY7pOYzfcvO9O0SRKurC6oy zJQnHEMGIqtCD1XNtPY/aObylHuvr7C7lydbt+sikp8vh6Kpkgp5omUpQNPE8XnjmRwk0COfJDJp YaX5F+93/LEER2zmG9tEjuF/s5nUawcA0JqOODjPDqK+T9m9yLi5i5n5I2ndZJ7F6i4tYpG8NgKl daih7a6kqag0YZ6tA/vxkOvtupuntLYHZm251Iopxj8DtjclHic5XQTT0238fvvH9gLhMJV16Ru1 JJmME2VpolYRx1EkRleL4vZ/Y7i8+r2vew1jr1AAamQU/CQaaaHBap9Osj5vvXc72u0Lte+8riPe tGl3JaFXI/E8bJrUfxKhFT6dFj7m+TuO7X2RXbRr8DuXbtdV5TGpFVY/dedyOBxeDocgtPHB/BpM lRwZeek2nBHTyxTULtXZNTXeeBmWmWY9t22PbLe1s7YUt4l0qMnAFPOtT8znrFDf97ut/wBwvNzv 5vEvLiQyO1NPcx4AegGAOAAAHRwd7fJNq3ffRHX/AGL8M9k909Jda78y2e2tV7IwW5G3B8kerKvC 1+2utcQ++MPFlYclQbdxVdS1ENFRLeHJwNT18IkgNPGrEQZmkGCVpTzr69EzPkCnaOHWSWk3ltrr Ledd09gYtmZDIRdwbOymwduZrau/Nv8AXOL7i31tx5eosi+5drZ2nl3L1y24NuQDIQ5jHZQV+Tyt NR09XLSViJY61WNHqSFoT60JP+Xj1TzdgKVNerU9h9F9Dbyh6m6W25Qz5jE4zLdd9cdr0+XXYlLt 2mwOysp/eiDJbBjw1XFvyq2nvfC7G3LX5wZ2kix+TDyGdZpZ1VElwzFrioYoUPmOLAUAK0IIGc+X TnBUqM4Pz/1fZ1ri9jdidb76+UPaXZmawWVfrPc/ae+93YTbe1nooZXwdVnslWbNxbDLlaf+CVVF HSQ16q8c4pZJPCVcKpWQW0SWsEIXsWMKKksacaEsSW/2xJr1V2OpjXz8sD+XRg904zbua6s29UdH 7k2Js+Xem+vuKSi3lumLqnPbvwnWm1aPa5zmJx+/Nw5TbmMp85v7eecpp6GLPvEZcLHJeUkyA427 ed72dk/dm83Vvpr/AGcrqPtKg6TwxUHpl4opAQ0alR8h+fQR1WM+S20Mc2WzfWm4svgodZk3DQ7f fdG3vFE2lpX3ZsiXIYMwi11c1JFjckj2Ptv94uebAoJtwiuohTE0S1NP6aaGz+ImpNMEZqmfb7dh hSp+X+zUdMWP71x8kr0+Vwc0EkZYTDH1aVEkLq1gJaaqjp3QDm+qS4P+x9jvbvfuUBV3jlpSfN4J aD7RHItfy1/7bpI+1k5jmFP6X+cV6WmP7S2TX6VbKNjnOn05Klmp15HK+aIVMFgeLlgL/T2Otv8A eXkW+xPeT2snpLC9ONB3R+IvzOaAcSOkz2FygwlR8j/qP8ultR1+OyS6sfkKGvACN/kdXBUkCUa0 LLFI7DUp/I9jvbuYtg3dVba96tbgMKgJKhJA4nTXVQetOkzRyIRrQj8uprKwNiLf4W+gH14/2PP9 PZyQRxBr1Trj9Of9j+f9iebfS/v3XuvW+n+8Wt+fp/iPfuvefXrc/wC2sB/vPvXW6YHX/9Gt3R/U A8/7D/e7/T30Sr0Q/wCHo5vw1y4os7v7Gk+ubD7fz0K8cnB5h6Kcr9CGEOevx9NPsEc/QvJsk0kY rIiSU+0L4g/aY6D5nrzRC4jmt2GJEK/70CP8vVqG7950Wz8YmYrKeuqqeauWgVaBqNajzSQVNcJA a+rooWJjoXCRq5mnmZIolZ3Ue1B3CI2lvfAExyKrAefcK+vEA8OJ4DrHeGyaV/AagZeNc8Mfb558 gMnoLMN2pm8zR5iXavU+apIoIUrIpqmB4o8lkKymyk8gkpMfjklqpzWUkMDlZGJaYvqtGQyC2uQq 3Js9q8MV1YUKHdlJJOkCpqACTxrWuOjO5gmle3+u3YysAFGpmYqi0AVSxOlQPhUCg4Dj0343fXc0 eYop99YbrTYu146+kTJrld2RJkJqGsmehgpMY1TVlhk56idHheaGCOY03iRQ0902l5uqzJ9WlvFA GWtXrUElQFrTJalCRQ8Bk9aaysGRxavNJPQ0ouMZJNPKnHiRxPDpUZLv3p7FPlYZt/4OsqsLi8ll 8jSYh58xVQ0GKyZw+RlWPGQ1Qc0WVQ08iAl1lBBUWJ9qpN82uIyh7+KqIWIU6jpUkMaCpwQR9o6a TaL+QQkWjgMwAJoBUioBrTiKEdAJmfnj03SbWpt1bfx+9N2UFXVZ+ipDTYaDCGSr27g0z9ZG75qt p5IYpqKphETmM6mlFwAD7D03PuzJZi+hSaWEs6ii0qUQORk1yCKY4no3j5S3E3D20zRxyAKctXDP oHAHzr+zpJ7k+bmRpspnsRtvr2k8+LpOzGpKvN56onSrr9jbZqdy48tQ46ip7UmdxsCyECUvGHAB JF/aS554YSzQWu31ZfqMuSMwqXGB5MBXjgHpRByuhWJ57tqHwq6VpQSOEOSeKsacOge238z+2947 Ynzkj7VwDY/t/rrbWQhxGC8yttTdeYqaGriklzFXkn+7kian/fXQym+kC59lVnzpud7arcuIotN9 DGwVajwpDTixOSCM9GM/Ldja3AhrI4a1ldatTvRa+Q4Ag46Gf4b9sdg7+3f3Phd87qyG6KjbWShT FxVgo4o8bS02azOGlgp4KKnpYoQxolv6CTpH+Nzjk7ddw3C63u2v7tpWhkIWtBQa3UUoB5AdF3Me 32dnb7ZJbW4RHWrcTUkKfP7ejvT08lPUPLRjSpS1hqcCImRjFPCLtNSpJIWjKXlpyW0qyHT7FUtv NDM13Z0LH40OA/zHkG8v6XyPXrHcrK+sodo34sEQnwZxlo/LS1M6OFfT5jIkLXRyU93Ig8rDSQQ1 KSDbTHWKTC5DA3UlZBYgqCLB6DcbeZvD1eHMOKt2kfkekd/y3uVjWYRfUWvlJH3qR6kLUj/B8z1n WojDKzMzcKV0v+3dBpvfk3H1tf8APtdQsMGo6ISoVirIAw9Rn8x1hE6OxWPU80pv4uZXkQEnhF1u bgcce25ZoYV1TTBVHqQOldtZXd24jtLR5GPDQpIr6E8B1DqcgQqpEUZ9bJ4V9LRNbkTygSLRBRza zzXFgg/UC83M12THYoRGcGRhRf8Aajix/l8+hCm0WW1Un3+YGYCot4zV2P8ATIwo+RNadYKcrFrA k1SSxhnmCsFKr6tMN2eyI7E2uWZjqcsx9r7e2ito9KAkk1JPFm9T/qwOHRRuW4XG5TLJOFWJV0pG uFjTyVR5D1PmeuLVpKOVdgUTyIbr9ObXH1BFvp7UUHSEKR+HqLJX6SSrjSy6vVp1SMHVWA1fQ2PF vz79TFCOraBWvRNfnHtPdu/ekxh9u0uezGAot/bRzPa+2dptKu5Nz9T4zImfduMwsEBWor6uKnMd SaaLVJUJAQqlgFYC+4e3Xu5bAkFskr2i3MT3CRmkklsrVlVKZLUzpGWGBx6E/KdzbWm7CWZkWfwZ BCz00LMR+mWrgCuKnAJHVVWR+HT9q5+lyO1t37T23sXK7335Hi8xh6OhOzds9dp9s3WNXtzFJW4i fOjIUpkgz7zVkmXxFbAUrKdW1SPDUvt6/ME0ctjeww7a889GQKYY4AQYNCjQW1AkTFm8SNwVZfPq Qo+axtkTR3NtJLeiJNQYsJHlz42tqNpCmhiCjQykFW6EM9W9OfHl8zj8G8PZEuBqOqafdW/sDkZl ye4K3sDdUO3azYGzdyY8qdp9vbFyC/3i27VYGoSeXGeWlykMi+tjY7NsXKxuI7UfU+G9sHnQnVIZ pSnhRyKf0riFv1ojC2YgVmB80H7z3XfBFJO/gowm0IwwvhR6/EkU/FDIP05BKpAejRkHHVnXxl7O 3Pute3utN9Zz+9W7+huzK/rar3ulNDTHemJgpo6/bufycFKBSwbnWgb7bJrEAhqojJYayPcq8obv d3i73tV/OJ77bb1oGlpTxVoGjY0x4mk6ZKADUCaZPQF33b4Lb9231rF4dteQCUR1roYkq6jz01FV rmhp5dGbaoRokk1fViH0tcuX0u4/UpIHsaUzTogoOjufAbZp3F3jVb6nh8uP6m2vW5+JmX0f3q3Z TzbV2jECeGqIYqvI1YFyVNLe17H3CHvPvAg2rbtkSTvuJS7jz8OOoX/enP8Axk9SJ7d7c01/ebi6 /pRIFX/TPWtPsUEfKo6tkr2jpmRotTgsq/uENOzCB7OFZgUUmP6kW/J9438POvUyDhnpgWpUpOhi v/lU0kXjUaQugS6x9dTsx0km4F/dC1FJp1s9a2X80v5KfK/4+fzNeje0eiNy7l3P1p8efhLnPkF3 38faPPZGmwPaPTFB3U2yO4J6DasSvhspvjB7Y3DBlKWqmhFRTRYjyxPaMo+0PbQcSSB86Z/n00SQ w9M9F06y+TuS7o/nB7R/mF7G7Ir8p8TOx/kLjf5VfW1b4apMVkcDvT47ybu25vgxVU6U1NTyd01F K7xSQX+7kBJLqV97byC50hSfz/zdaFdWoHt6ZtpfKDsz5QZn4a7J+Zv8x3t/4k9eVnx7+Xadi9g9 e9j7M6Bl7S+R/wAeflrnutazH7o3dLh6TDUFWvVtXRSwUMUcZtApjjEkrM+gZFpoBrWlf59eBqcm mOpPyi2v0t2LvT+Xp138X939o/zXuqzUfMPpnLbRrvm9uPa+R3Z2rjcBsTujGrvTvfEz4HFZOLYW BydbVUuPlSSM0Mppo3J0INA01624HrZycZHQSYHoTN7CpNoU3dXwJ7P3t1J8Rv5m/Y+7+zfhDsei ynyl21snp/5K/FPa6dfV3VmYzNfPF3hgttb52/HW5KocpDj8nWGGT9TE61A6h4gGPz69Q+a8Dw6G Co+Mvdvdu9vkf3x8Vf5dG7fj91pguwf5evdnUPx07q2rsboqDuztn4ub33rD2XBi9kwVtVt3btLu TrXd8kT1VWiQzVcShy0smj37UuAWqTjr1KkkLSnVhfyA2F/MG/mNfG3uX45dq/Crqb4qbVysXWW7 NhSbg+UGJ3ruSv3bsrubZO55MNmaHrnaVPS7KwdbsTF5uNsnE3nWsEMcQ1Mzx11hDqUE9WNWFNNP 59Ifuz+TN8U9jZ/fm3vj1vLrX4ubH+Qvwy+QHxz39jt27j35v3P7j3lnN77A3rs/tifJ7p3VkI58 b1ZkNm+GvSetoRPJJFEjeZrG2sgDu/b/AKvLrRUevl0oKT4O/wApfHdp9+95fLHd/wAc/krvvsPN 7O3JWVG7aLL1mN64rdg9U7U2HvfG4+gwe48riM4m8NxbXlzINVTCrjqqpqSIzMOa68ZYcKY612Ek mhPVwfUnc3UHZ9LQbY6XWtyuB25jsTQUy0e1MptPAYPbVOuSwW3JMVHnKLDLWYP7jb8lJRJRRyfs orhRDZ/e4yCaZz04MDrSl/mXfKLG57+aF2L2zLtjbnaW0enNzL1/gNo7mdptt5Sh2Ph5dq6whiqq ZTS5qGTIQaopYnqVVnSRWPt2GJnti6tpZ3Jr9mP8A6twIqOHUXqj5IfATcW1aPbvaPSRxWcx+xJo MZuvH46s2/FBvqOfJZQR5DMbYmy+6Mji0y08E9PNUZFXdaOOC8Cn0kM+38yruAmtN1Q2TOCymmpV AAooIp+zPS5Z9u8ARyWhE4BoQcHjxpn9vRF+0dh/HvP736/puj+2Nw5+PsaGnrN7T9r7WfZEXWu7 twbhrg2DrcrPuPdcm4qDHY+WKWqyTVUzSTOxMsrsxUQo0uiQyRhSvADpCVSihTjqybq/4Adw4b42 5XNbexXx77Y2RkHz/ceYz1BkqrdNV/dXam36rF4+pyb0eF3FbANUSisgp6Slaq89FVQpUDzN5CH+ su1NuB27xnW7U0oVNK+mOHrnpX9Dc+A04RfCAU8a/FUr+0CtM0BANCeiKfJPYOY6v3dT1eC6n3h1 Z13k8PRbe25lMvSVDbf33ltr4qixm+M7t3cNLPkNt5hZs2xEyUNZVNThUFQ33Ly+z2J1YMqyhmHG nkPn0k9cY/y9H6/lI/zA+rv5f8nbHYJ6lzXdHyY7Y3R1b1P1ltvG09VBSbf6uqcxLkux8suUpaTI V1fuHcWUfHUmMw1DBJV5Cro4g7xQglrtQGvn01ICwNT2jq0fpfsLvv4sfzuPn70ZSb7zdb2H8s+t Ozn6H3V2L5txePe9Tsqs7s+M4yFBnJIYq+k23QzZDbNPQv46eKRTTeNdJT2yuulxpH6grT5+Y/w9 aOlkiLYSor0Qjs3uLtr5kfybd69j797D3xun5AfB/wCVM1P2PuPMbjqzubKdJ/KBYlq6DPTrNHJW Y6l7DnSGOlIEFPBivDCkcUQQakCUjlPwjFfPOf8ADTqy4kdaUqOA+XWuvPO0oIBvo4NhZfSNPK+k Dj8D6e3RqK4ND1s+erh0dz48dwU2VxD7R3hnMb/HsS9FSbaOYymJwEea2/8AaNAlJT53N1NDhH3B gaiNNNNU1FLJW0T6YJXqIfHLA/P/ALSG/ubreuWgVuJnLyQE4Lk11RsQdIOdS8OHWa/sj96Rtg2y y5Q58USbXbRhLe8QFpERcLFOoPeoFFSUUIwGDYPQ8dhdybL2RtOh29undBqJ8nuHZyPhNtZDa+fy mL2dgtw1O/stW5jGYuvzGDoUrd0YTE08VFW1clXUUstQ2goun2k9t+Qt62PcTu25oUEcLxohOc5q B5+Yr6dX+8F74cqc7bHBy1yxKHikuVnuJc/6CulFqQKkmhIWq9oqa46Gz4w/Jfb2Y3Fs7sfBbf2F vuXYme3DkMjsvdu2/LRVOX3JQ1ANFlaJ446uqwFEKcz4WEjxUkMTJGF0sEB/OsG77BztNuW57dFP avKzwmRC0UsZWgRm4HwvJDkGtOPUzezd7ynzt7O23K/L2+z2e5x2kUN2sEvhzQSJIzNKiGlBOSS0 oqHBCsapp6UW78ltzcGyuzpcTgqOo3T2Zhtw7QxezcFhZjBR5Lduew2FwGM27j/u4jmMOm4MzT1t BQGWZKGuxsUkcsTlpCp9r9xnfmi2W1crBKZDIoB0KoFfL8PlXNBivRb95TYtvj9trqTdI1e9tjbp BO5UzSyOdFXNNQZVBLsNOsGhHChOT8Sdr1/bVPtDrrI7s+QMVXQbPo9p7I2rQUu3tw9mdmb2TdGQ xG0sJuinlyeIbYlNtbZ2Qz+Qz9GsjRUEK0KJHWSGohyjE4pqagU8PmOubBibVoVakDP29Qtq7W3/ AF77q6trerN+fH2hfJb32uN6bG3H3PgNl7X7E2Ris1mM9g+18RuHdu5ttbgxLrhpqLLzx/ZZaiUp Uo1SkbUc9hIjUaM1rw+f29NsGBIK0p1D+Kfxz3pg+8+n9x7ywmGq9lCKu7Dnm27uHF9gUVNDtDA4 LctDQbkxfWOerdw0Nfh8ru7BT1uIVqbLRrMYkRKgWW4IcEU/1efVTWqfM9Xvdqdj5rrHqb5RdtZv snKdj5fpD4/5jp/qrsqu/vNBQ5qLsd8b0j1nDg8ZvDCYPc9JJt7KZLdju+SlydZVSq1UlU0JX2XR hZFQBSrvJSh9FNMf0SOniaFDxVQST/PrU7meMemLUIaaEqkY1F/FElrNcEn9sWN/ZuOANOmOAzx6 Pb2v8YfkNuTB7aqtmdUb63V1z0z1htnZFduXDYR6jCvuSLHVPYnay4oxyeXNnCb53plYKySkSbxf aFHAMZUM61rMaE6aE0BNBWgJpWg+ZoPn1vSaLjjwzx9adA30/n8z1r1T3p2Jt/NZLbGZyWP2P07t 7I4LJVmHyX3O+8/LvDdEtHW4uenq4Hh2b1pU0zlD+jJ2+j29uGjaATQnh86daFSTTiB0ySfJftio Wnh3ZldvdmUtOJSlJ2vsnaHY02mcxmZlze5MNV7ohZ/GPVFXxlbmxBJJvTrYJoK+nXUfaHT+Z8w3 Z0Dj8XLO0jtkuo+w92bHlpWdD4RBgd7J2xt4xQyjUUjhpww44IF9U4mvXq14jrNFhugc0YpcF2z2 F19kPMg+27F65j3Di6QFAzTruzrTcFflpQsw0i23Y3K825t7qFAOsouvjWgrXyNePD0ofn14cKFs dCNtvq7ufLVVXRdRdkdddvfZUNRl3x2y+x8N/GP4VjKSXLZOt/uZ2ZFsvdwpMXSUzzVpTHkQIram K2JEu3c28z7QB+7uYL2Jc48UupJ4nTJqWtPkOmntbeSpaJa/s/wU6w5nP939fJDN2N1Xn8RSTRrJ FX53ae4dsUVTDI7aJ6fLtTLh6hJLaUeMOrfgk+x1t3vVzlalFu3tbtK/ji0ufKmqIoPn8Ffn0mfb bdvh1L/P+Rp/h6Xud3ttvb2F65yGTbIR5Hf+yH32cZSQ0tdFgsZV7q3Ht3b0FRW/c0b1c+ax+3Gy OoRIIoaqFQHLavY72/32s2fw925cmj0/E0Miy0xUnSyxmnp3V414ZSvtjjKTKa+tRj+fS4nx1RDj dtZZlU47d23aXdOCm9SS1WFrK/JY2CpmppAs9I8lXiZwEkAYoFdbo6MZd5b5k2zmrbF3bavF+mMj xkSIUYOlAykGoNK/EpKnyJoei6RHido3+Mf5q9f/0q5ynH0IFv8Ae/8AH30Rr0Q9Dz8Zc2mE7l2x TzMEptzw5PaUxYgL5M5RSLjLkkKT/GqalAv+T9CfZRvsAn2y4qMJRvyBz/xknqysVbUOPVrO/M7m sf1hPl8JkHocxBR0UEVfHDTzSU0yzPiZahFqoZow6VmlkfRe4Fv6ECbNdT/1YWASAXloz27H0MZI Q5pkxmNs8a9Q7vNhHacyX1u6H6d5NajOVcB/L8x1UdtPtTuTs3FY+HL743/uWoy2O7KwVRDSZHJy Qz1GQ6t3HlcXqpcR4YY56fLU9OKc6B45GAX1W9gCw3Pet0tovqLu5k1rPG1KkBjBIwPaoAGqgHGh pToU3Njt1hNLotokjBiYE8QBMoNC3qtaj06RWxuie/N0ba3S0XWe9Zq6uh27mMZU7jo58V93k8T2 P1fu2COOq3JPRlJhSvlHBYixppBcNYEv2/YOYru2ua7fOZiUYeJirLNbTAVag85fs0npfdbts8E0 Ia8iCdykJnBimQ4UepT7dQ6OdhPij2fLvHduUrv7tYXDZqh7ixtP95mFqKr7Xe+7BuTb9U9Lj6aq HjWaeXzgtqjtaxJ9jq15U3EXlzM3hpC63Kcc6ZZfETgPIk19Pn0FJt8s/poI1DtKpgJIGKxx6GGT 9lPI9Rdm/wAv6XH7KoNq7v7Rp5/stz12fLbZ25MVekyG39u7fq8ak2XrImBaLBO4k8R4mtbi5Z2/ 26kh29bO93NWPiaiUSnGNEZe4n4tGTTz8unrvm4S3bXVrY0JjC9x9GZgcfaMfLowuH+JvVVBlIst kKzde4a8CsMz1uTp6GmqZcnsWm2BlTJSY2jp5fHksPDJMyGZtFTOzKbBQBLFyftcTJI7SyNmtWwd UQhbAGNSjOeJr0UPv168ZjCoi4GB5BzIOPmGpThgdLjavQPSGyaCrxG3OuNvpRVVRjq2qjyaVefe prsK0L4qsqGzVTW6qnHSwo8ZAGlxq+v1MrPlzZrCFoLbb4xCzAkGrVK/Ce6uR5fPpJcbpuV06yz3 rmQAgEHTQHiO2nHoVaWlxeNVnxWNxuL+5kMlQ+Ox1HQmeSVy8ktU1NDE0zvJISzNdiSSbk+zdIY4 yxjjVa8aACv7B0hYuxAdmanCpJp8vs6l/clG0s/qdfQwcWYi2leR6Qb/AF9uUqB1XSCD29NpmUMZ IpHiMgiMktLI0LKGdlZDIjAycD+0CAPx7ZntYbgaZ4lcfMA/sPEfl0vs9wvtvYvZXTxfYcH7V4f4 esYrJdLAsHeVfQ5x+Mmkd0k0HS8lCzr6Ryfqfr7Sfuey8ldR8ncfy1dHH9ad44u8L/6aJD/OnXZq Zy0sSzT+Lx+pEdIIyTrsTDSrBBIGN/1Kfr7tHtllEyuIFLDzarH9rV6TXHMG83KlGvmWM+SAIKf7 UA9R1lZVjaPTE2siNFsETVGyMiqNAQ2Qn6W9mAA4Hh0TEEkknj+Z64mUXpndyE0WUl7XkQISpAvq 1EfT+vvfGvWqAdR1lXUyFh6oZda3YtH45CAOCx03P0sPe+t1p1heoJjU+GUBVkjVVjYMZCsZDKos 1rj6/wCHv1OrDPTdmsviMPislmM/kcbh9vUOPlnzeXy9bDj8dQ0iKRNUVtXK8KUkMTMNUjutjbn6 e2LiaC3hlmuZVSBVJZmIUKPMknAHz6tHHJLIkcKs0rHCgVJP2dVz9rbq6H2tuKvq9t95bfoNz19L R5vIYelwG+9zV1Vjq/AzZ+iy1buTp/KbXz9fQTbbD1y1GVbMNDSJq1qike4p3y+5asLud7XmGNb1 l1lQkslFZTJrZ7VkcqUBcF/EoM1A6Gm3Wu73MEa3G0yG2qVDFkUVDBWULMrKCGotE0VOCK9F23Hl chWYTqjtTHb524cFvPeOb2ntPfu3Nqdi9idg7Ho8NkZcZubfOFg7d3vX7e2Fj8c+lhkqChNakc0c gZTf2E7ydjabNvkO7QCzu52hinSOeaaKhKSTL9VN4cKqcB1i1gEGvR7DEBLuW3tZSeNDCrvGzxRR uWGpI28CNWckZ0swUkU6NP8AAnsDYWU29vHrrZex67aUm32x268rms9vAbr3dv3Ibor8zjc5uTdF ZPg8G8mWosjgTDNJTJPj4vIkUcnkDj2NPbPc9ruLfcNr27bmhEJWRneUSyTNIzhnkYqpLBkIJXUv kCc9B/nKzu4p7W9urtZdYKKqppSMIFIRBqai0YEA6WpUkdWEivgol1XQUx4JuLIwFywvwOB6uT9P cpHSuSf9XqfkPPoFBWPAGvVy/wACI9hp0pMm2d1bb3DvjcOZG/OzMXi8hSVW4Nm0tVFLiuusFuHD rKMth4WwFLNVwzzxLT1E1ZI0LyAX94Xc/cxfv7mrcrgkiGNvCjUijCNKhWIPDWdTD+iwPWQXK22L tOz29uf9yGGuT/TNkj8hpX8uje1/m0sQyxxvJFdjZmAeS0lrWuBcDg3PsIM4rTy6EgI6D3fO4MVs Lae4d65/+LzYPaeDrM9k6bA0NVlcs1HRj7mqFFjKV0mr3iR76FYAhSTwPddYoT6db/w9Vhbt3j8Q 90fIDdHzQGc7D3f270x8U929G7p6Vrdvw4PAydc7w7hxeGzVFumm3DgRh59y1u66+GNG/jElE+Hd qhYpobt7qxpg8AK/n/qPVKoQWGT8ugnxe0vh7tDqrZPx46t+AHc25emNidqw99bS2xsbFVMOMxXd W3tyUeZ2t2JgtzSb2OYy2Op87LNN93PW06U+LpYpEoZ6YCBKFqamqQTxIr/Lr1BQUXpYS9a0+7Ka m2di/wCUp1xn+uIO0e1OzqXF9zNsmFaHfO+8pisluPfiNubDbmpcbuLeuTjyDZI0Ilp6moFJIHNL Eah9Bgfxsc9ep/wv/B0ZzbO0vkFs7bW0sV1t1x8auqWoti4mvqNm43be2dtbQ23vrKZrJx74q/4D tOKbOGXG4iHGU8MuPrEpKmmNWJ5par7ZU13EAhadWpTh0wbkg+RNJHkMdv75q9R7ClzVHOMfHQ4L a0TY7GmhosFk6rDx1sFDm8JU46uqUmYpXzHzVEsplh8SBPUI4lB/h68SQOOOkz2NSdb4zf3YVXuD 5ldmYldy5XGdhY7q3aNNk8nVNi4Nq7Sq8FU7LqZo8/XbmwcsEdNWU4pbY16ya9PHDN5tW3UksRJj quMVkz0j6jqn41V61ByWG+V/c0uWrnr81UQ4Hfop85Uz1M+RrM9kYGxO3UzQyuTSa15HCRVC02lY VSJKrpH4j/Pr1ADWhNPkehU2NtTrvc3WG3KvYnxI3pk8VQHfFJBtnsfdFZszMUdPu/IYDsXJDJQb nyk7ZShzm58u8ypWRE4zL46b7dYmj8zeLKV4ED/V+3q3Ghoa9GqwvTvWDQ4jNzdO7AweahwRwkGK m2/tnKvhaCvqKHIZHF0FRFRzUdRQmuxMDrIiIQIl9KXdPeiQKacg9bAxlR0yd5d39afFvq3dfaW8 MztrZjQbZzo2fQVK0dBk967pweHyUuBwmDxlFGMhm5VrFjBMUPho4SzyGNLsXESZ/EEUTHtNaCuM D/CQOtMUVlUuASetI34s/Fzafy97l63j3DuHfM28d6bt3V2j2QMJt/bvZO26frTaeWkzuayGQwe1 snX7rwVbn6ZPsnTMxUywSyiRIamKWMBved0Oz2TSxIJCulVGRVjiuPICpPyz0qtrcXUoTUVHGv2f 8XTpO9ndA535KfJrsXB9EYPpnF4mPsPbOysBhNq1+Q2ThPFvDHUuRwtdS4fc2T3DuCalpsbJ5sjO 07RpUpMIlj8kNKGL3e7PYtiud73NmS1t7dppD8RUDiBU5qTRfKny63DbPdXaWtvQyM4UfmaV/wA/ Qk/zJfhtmfixuvo/4647Y+1t1V21+jdvbw3T2x19tnMzZ3sXc2fSoO633LDTyVyYjBbVehjjoYJI BUGGV6qpqZHnaOAPe3nOY542e43ptuNo3jmPwzKs1F8OOWM60AUMY5V1oB+m+pDWlendysTYTCAP rWhoaaa0YqTT0JBofMZ6aPhv8QO+Ny75+Lku0u8Md1tsP5JZHeR3JLtve/3GT696g6qMu4+1t+b9 683Ai7dbaeFweIqp6SsniqMXNloxT+QzRyhRjLbWNxcsZbVGljzqZQTXhj0+3pFrmjiISU6T5f5O rC/mX/KZ/mCbh6+y88nyE3N8mNr9ddz76wnx16h3LvCl3JvPL9N7g2xl+w5O6vvJc8u3NmSbnxW1 khpMAESStcAUaQeSGmdxFEdW0d3D/Zp0yHBrQU6AH+XDQdqfyqvmTge2vmr8Hu2Jev8A+7tdganf 1d1PnN31fTM+RrIYIO1tkZfDU+W2xVS0UlPNjsj4ag1v2U8wpW88Zgmt4i6u4U+3rzDWpCnraayn Yf8AJj7i+ReyP5kWc+UXx13L2H1t1tSbU2hVZrtvZ+NoNujGZTNZeh3pUddZKWg3g3Y2Ips/VUVN JWwPJRQvaOnjqFWRXKAMWr5dM0k06AuOtFb5rdw7Wj+Q3zR2t8W+yMjmfi13v3Sm94o6XH1+Ew+9 qHCZ7Kb12pHLjszS0eXXF7S3VunJR0PkigNTBHDK6EaAG0WgoeAJp9nSmpJqfip0Bvxnodi5Pftc u98O24oKHa+UrsNhYp4qYy5gmCmp8laojmpK04MT/c/bzRPFLpYlT4xaOPdLer/ZeWxLYmZfGm8N 5I2KPGhQkFWHAl6AmtQvDrIP7tHJ2xc6e430e9pBKbW0a4hgmUPFPIropVkNBJoRmfTkYUkEAjq0 HKRdT0GxOrodgfxnE7+zeGrqfvN85tXY8+Hq8rHlqoYNtnVr4urqa3FRYaXS+NrYJaOeTSJPI5fT j3Y897ltFvtbbRvl687gmeOdjJGTXjEWNQKV1KAKmnn1nVvvsdyvzduHMTcy8nbTDaK6CxmslMFw YvDAdLgIFRjroytQkAEBQtOiU9t/FXPb27ASj6rwG2hUVWIirNyYLD5fAYXHU+TTK1WLGa2ftA5G TMz4PcNNFDO9FiKasix+QeaJFggamiXIvb+dbE8pwcx7qaKVKlUXUXcFhpiUkElwtRWlAPQV658b 37RbsPc689vuX2WTTR1lmbQkNuUVzJcNTtWMMAzUy1KCrgdLXrD4bdp9V7eoe2arPZTG7L3vUNgd u+Lq7Jbj212JVYmY1FZQ08+V3dsKMZHD10d6OXH10WU8pcUssZ8iOHT7jcq75t0I3vY7uLa55CqN NHriYioqGRm0kUpgcfXHUhH7v3ubyVvly3JfN23XXMdjErzR2lw0dxEpyA8cqqCjeVSRw1BajpAd +9g9wbbOK22+RwGM2vlmxe88HuDYsW66Gr3ZU7crMhQ42szOZ3nk8j2DjM7sbLmqp2xE01IMVWEy pFIHp6uUebFsvL1laLc7FbxiCVahlNdSn0+X209KdQrzlzZz5ud+9jznf3D39q7KYpaKEalDWNRp Jpmua4IND0HfVPZG6c5W53C5XsPfG2d91GZ2nvnYHb9JV7yzOV2Ru3ZWMz2ApKfPVm2Y8pvPG7Sz m2twz038QoIqqfE1VHSyLBJTmosI/Cp8Lf7PQE1sWYseJr+fRi8V8m9+bI6I3j0D2d8itr76673j l8zWYrafXo/v/uPb+7uxMrS0PZHbmb3jNgMQXr8dsrLZ5YcbUZOavrsxWQiWBFi8kLZRCQqxgEHy 9Otamqano6vwlxu1c/2NvrtbrCPqbfWF2dtPGZGk29vjbnW+yeuq1durX7/7d20+z92YLp3A9h5m moaXaUrNSUuErY6mVT9xOtFHUy6uD4cbFyNLGn5dbRQWCg5p1L/mM70/uP8AC/rjYNPPEKv5Cd+b g3bLSQ4wYGnoNh9B4Q4NMPj9utXZaTb+3m7c7AyNTR44VdVHQrAIkllC62rEhEqKSCycT5n/AFHr x+FzwqeHl69Us9IYGg3j3D1ltrNVGKosLlt77dp81UZnIUuIxi4aDIRVuVhrMnWyw0lGtbjaWWFG d1DySKgN2F1/l8/8vVeOOrg93fMbcVPkPjr2f3hsPuXr7JdB4jF09L17Q7FzOE2pu7L4Deu4N9xZ bbe7gsG06Mb/AKjKwQZ6qlaaePTM0YnQxRLJ3K/uDtvLfJ287CdjMu7XPi0k7PDfxE0L4te6keaA KwI9CT0ins2luIpfFogpjNcZx5Z+0dVy7txm6OysJ0N1vtXDY+q398iuzN+dv0218KaOhpKjcHae +E6x64wlBJVvTU2Ox+naFc9CJZlhjpMmHuqtcxVEnhCOIMDoUcBSpoAcZpwFOlpIYvTixz/q+2vR lM31L2l0F018jfh52d/L+ot3d37G3Ns7srfXyKxEeX3JvPpPr/KY/AZ+HHff7ahyeDpcfnNv4io+ zmqJkihirqySamlaNXjbdwxilLadLkHjX1+yhr1sKRRSKgjqHUbb/lY9w9+1Qxm5/kD8QvjrTdHH J47I7sxMXam5dx92Y+sZq3F0iYoV7R7Vmx0nhgmZiKyvpSZDSpNYXrMvjEPWSuBxP2eX5deAUmOg oCM/6s/n0W3evxp2ltL4q9X/ACUx3yN6i3VuTsTeOW2pWfHnDV0zdu7IpcdLnFXcG5sYJJVpKAQY iKaRnRIAmSplhmqG1qr3iskiIVOqmfl1rTQMa8Okb1p4tv8AT3f2+JZVjq8rhtmdKYJDGjNPP2Jn pN17udWaxjhpdi9aVlNK6kkjIojDTIfdzT9MAGjHP2U4jqg7Wr5AGn28P8vSR2HvvtrbNdjsF1zv Xfm2K7PVuOosXjdu7jz2Go8jX11WtFiQ2LpKuChr4Za2pVE8kTxlnPBHt1Qp/CKD+dOvfhz0LPye 3JleyPkdvuloq6TcNXi83hepNu1yJTg5z/RzjcV1njK6KOjhpob7hyGBatska6patmtqY3ciZYon koAtWb/L1eunj5dHl7woKDEdk5fZeJK/wnq7F7W6gxxVURZR1XtfEbHyVWFjkkQ/xPO4WrqiQx1G cseSfeavt/tn7n5M5es2FJTbiV8U75iZWx8i9Py6C0zmSWRz5k9f/9OvTx/g/wCH54/x/wBv76HV 6IupFHVVeNrqLJ4+Q0+QxtZS5CgnX6wV1DUR1VLMv/LOeJW/2HurKro0biqMCCPkRQ9e4GvV4mxN 0Yreu1qHO0aU1Xidy0WP3NHTzxrNSwy1jQwZvFPE6mLzYXcUBjClTaRWI49xbtinauZ7/bLg/o3c YZa+c0ACmnzkh0OBxOhj0B+erEvDZ7sgOpAYnp5Aksh/aWU/IjpW0bUeMgMGMpKTHU+kMlNQUdLQ wAIRFZI6WOKMC9r8fj2OkiRFCxqAvyx1G7ambU5JP2165tWMAGle4jlCssjamUEWVlI0liNX+8+9 6QfLq2keXWKSsAkADatSa0sCpVjfTq0kGxAB597CgDh1orWgoOFPy6iGsBjk/W1oozcMbrK2pfTw BYMBz73TPHq+mnDrHJUuQCy21Jf8sUCSAhwLEWZbf0+vvwA4dapQjrr7iQTabg2adY9Vrl2s1iAT cD/W97pjrdOo4ctEsfkI1ylG5uFYBwE9P05jvz7959b+Z64pPEGppHIOuNQLm4T1KoPJ5Gr+vPHv 1OPW/l1xVnZDoQltBLqbKjMso/SzcMGjv/h735/LrWOutblNMYEbGVpImkJ/RfhQAr3JueOPevn1 7rp5JTKCjLaOJQyWZQxsSoLarctz/QD3olfXrVf29YtUZViGkaSaWNrk6SkgJvZVA0aLtcXPvdR1 6vEVyOu7xxMquNSqP22dQ7o4PN7AlgxPBt731uteopnguxaSNKhi8gAKmSPSAwDWubaALj3qvXuo 38QBJqGWQpGFuFQ6ryCwlAfxsEA+n1978q9W8vn0Fveu25t89P8AaGyaebH0km8Nj5/B0tXl6kU2 Ipa+spPFS1WTqij/AGWMhqNElTNpYRxIzWOmxDvNlv8AV8scwWwWrPZSgClc6GIx+XRlscvgbztU xamm4jz8tQr/AC6qy2p8P4sBuls7ujdu194PsKq3DU1PXWHwG8Oy6jfUXX3c8fS7dczVmFhwVJSP /o2rKrdGGkqJko8xg6GGK6tOwTFna490ub6xudv5SurqGN/glWXQ8ahkjjYgDtRG0gE0KgA+nU13 r2EEFxBdb9Dbuw4oy1RiQWcAk5ZhqNBUN+3qwb4j5Tc/xrHZ1d/oLPdUG6m7Hx/Xm0O18LsTa3W3 WcG/cjvqt3FksTSVFfW7ieXMVOG2uaONo5fsqDJ1QKtLRrE57LyL7lb8I45tpS128STSJE8kaJEZ 21OoC6m0+gPmBgdF0XMfKG2M0iXjTXZSNWkVWYv4QopJNBU8SR06dddMbF6y3Rmsr1vsAbMbMbr7 AmqcruPsTO78zuR6rz25aLcXXWxZsYYaTbeByWwGnyUctVj2+3yMtb5XhDfplH285F5g5Vu7y63X cIZY5YVjVAzuUCuWFGYCg7mwMVJNM9AzmrmTbN7ht4bO1kQpIWLEKtSVC1oCc4GTmgp0anrnAYDc ufkp96VK4/Ye36afcm9pVLxyrgIJWijwUDwGKdazdldPHQw+L9wI0rrYp737vc6DlbYFtbOfRvF4 aIcfpxj+0kNa+XatRlj8uqcjbA277qLmWOtjbEM3lqf8C/PPcw9B8+q7O4Pit350/wBp1vye+FvZ u69ubpNbPX0G38Luepoc5h8d5IzBtvZm5GkSk3Dt2jx8a0/8DzF45okCuKpmI9xZtHuTyXzza2my e6e0Ja3y9sW52gKgV4GdRqKDhUkSReZWICvU4SWIoDDUMB+f5+v5jo3nxt/4UKbq2+8HX3zq6fyF VkcPIuNyXanUm34cLuimmhkEby766ey82KxlVUI6+SabCVWMkNiY6BiQPajmL2R5l2+EblyvcRbx sjjVG0TL4pjIqCFFVk+XhM2r5V6Sh3QkSLX7P83+avV9HRnys+J/y+xVb/oO7r687YpclTBclsYZ NMXvqCCqKyVNHuPqvd1LiN3qi+OzD7GSAH9LuOfcO3UNxYzyWl7byQ3KmhR1ZGH2hgD06siOBpYV 6GZsDT4aatSlwtHQmrkejqI6fEQ42d5JFeSNmiipIFqDLOWclzYg3N2uSzpIJan+r/L04OGOmjdu 2twZbKbEqsLuzNbQp9vbno9w5WlxZimot44yj8kc+2twCskjkpqAwsrs480auATGSIyniR2/6v5d boDQcOgcrviVh9xGQ7u7W7i3DqnqJzBFvWuw+NeOTKZOvjhFFSitU0kFLXpTFGkKz0sLRTCSOoqE koD5ajTr2k58ugVl6E+93V3Dv2u+NuDxeZLVJx+7t59u5eqx+9cPhMj/AAuPJZvHYmtqjg6Cs2Jj UyVVSSRLqr2mWcszvM1zoaoNR9h/1eXVdBrUqB+degZ2DvzYMyYvI72oPhVsbBZ3J1Mu99qUNHld 97kxOKyuHz24IYJN04P+MbarM5UbdxLzRTt4KepggemKRTKsEVCyAU0gfPrw/LpYZHveupMrJDTf IiTO0FHWY3Dz4To34s4fKbhhopcPlq/HbepqrI7hzVdiqtqPDzwACid6eaggRUiMsQl0WU8WqfkP 5daqfsH2V6Ot1v1xkarM7T7Gq+4+6t2U9Xjocnj9mbu/u3i8XRU+79v4qV8bmdq4ja2MZMzQimUG OR3mo6lpAjq2otsUwzMRTyOOPVqedTX8+lH3J330N8ccS2d727j2B1NTNTztHT7zz1LT7qr5UUpD Hh9j48Vu8s9M6X0JS0MgZm0ggG/tZZWF/uMy2232bzTFqAKDx+0cSPMdVknijFZHAP29UdfI/wDn oY7w1+2/hz1ZWZuuYvCvd3deIlxGHiKo8AyOzuqIKtsnW3DEwy5ueCIixeiYG3uZOW/ZDfNxaKbf 3W0sjkqcyHPkoOMfxFPnXh0gkv3bFumkerZ/YP8Aiute/wCQPbHbfaH97O2O6Ow9z9jb5rKNsTRZ jctefHQHMSCmGN29jKNqTHbfxdJTNKY6Wjhjgj/1AvyNPcLY9g5L5KTZ9otAbq+njjaR6GRkiPit U0AA1KgooAyK1z1S2GqcyO1SBkn/AFetOln8PvlRujFbn3rns72TgeoMnsf425br/Zeb2lLldg5j PR0tTT11LhZKzEYzd2LlyW4KLFnEmplwtbHC9TBUtTxx08s6Yvblt9rfLDHJbtIgk1DNNNAR5Eca /Z0cRStGWo1MU/1VB9Og0+HXZe7Nw/K/qOjmpEjxGX7prN7Z3+FYFK3dO38FubKY7Kbux+2K93Wp 8NTDg6CCIaZpddLEikQyTRytcxbFt++7DuWy7jqG33UBifThgDwK/MGhHl69OWlzLa3cN1Cf1EYH /L/Pobeyu9d5979i9j7v3F2/jtub5ynZmzOko9tbn3bXbZ3F/CqjeqrJu3I4rGZFNnRbe2luOroo 0ppqPIxYinwKy/dGqkklnJuW+V9p5F5cs9l2i3b93WsDNWupnbi7uaVZ2arEgegAoB0/eXtxut81 xcka3IFBgKo4AfIf8X0LX85Opodj9xdYdWbcyuMnp9ndMYGeXNbH3hkdybRkwNVt+gweDxmzamtE GSwm36yioK1q/GFxTvWO8zRlpmuq5Wurq9sGuLqUSSFjmo4HIGAMD7OPVb5YkkpDHpU+VSaUx5iu ftPRM/id8w/mZ19v/bXXXT/yh3R19i947nwea3PXbqyuG3LtPE/3PxtLJ/eLONvhq2kOP2zs7Y6x iJqulg/htGtLrjhdh7ExpQkdIeJ4dWt9f/zTPld8c8xVVW0+nfhRumi35uOPt3cEfS9PufpTe3dW P2tj9zGTdmM21tje1TtKY0GdzQymXNDRZKhyvleFabI07T1ETCyhaqyEGvl1VkHz+XQg1vzv/lud 60FHjvlB8TctsLI1mCxeY2plewPj91/uPqbp3cmO2FV7bxq4/dPxxTrLvTtfrfsbe01ZlcljslkG qqKvx8giZHjkjg2oV1qooBj5/n16jV4/6q/n0AGE+GX8oz5UbmxmK2D8uNq9M76kwe8s7uTa/Xcm 96PqPJvkt/YTYvXKbD3F8nsmu5sFWbfxFLW7lzW26pa+uq6GvAp6uGKnW/qMPxU/1f6j1sBqjtr0 UL5lfyuMd8WKXb+4uq/lRtrtLc9bktvDG7BXBxbX3zSLlsUmUrqzH7h29urd20nm2lJUw0uSpa+X DVpqJ1EEE6En2EuZuZ+VtnjG38x3sWmcU8Jo2l1KcGqqDQZ+3zHUn+3/ALc+53NUj777e7VdPNYu GFxHIkHhuP8Afckjx1elahdVK0alekk+6M1svZw3t2PsDe+Alp6OmlrJdo0Oyt8YTHVtf/k8M9Pn aHsOKbr1crVP+zFm8XUT0ckgggqJmCARuPazlDd7tdx2DeUG3yUbQhD086LmoHyIqOHWQEn3mPdP lfbn2LnXluT9+wkxmWZXhLsMd66aHAqWiKiQeYBB6KxUfMfOnc1AK3a0FLs6iqqmOlosVV16bjxu KnhhhgjTJrV47G5+uoa2B6pZamkjkWerqUp2popRGgr5i5Bg3XlePlzbbrwJIWDxHgutaijAVIUh jWny8uot9vve275U9y5efN7sfrhdrLHdAfEYpdB/SUkjVH4aaVY0YAgmp1CxTaPae5d3bK2DtvF7 oze4OuaRnzG09sVk2XyuEimy033Na22tv0IqjXbhkqJ3hkgokkq6aqLRzeHSR7xyu+X+btvmt9lv bWcwRSEKnc8eTllIxQ11AgVHyp10I2r3A9p99tr7nPZt1tBd3ES+LKAiXFAuEm1UZdFKMH7cGmrj 1F7i7K6T/he//wDSZtzq7d2/KLb+68gm1t0ZSOPO7R3bLgdq1NLBSZaLIU9JU7hz1NtGmoq+lx8N flKWuqpEkaleGSZMoeS7O/seX7G3vkMd0Cx0+agnAOKcM/aT1zU94N32Xe+dN03LY3V9s7UVxwkK gklf6FWKIaUKIpGOgo/0LVkPx36Gx3WXyc2R192bn917B2Xuno+DeNZsbeeX353JWLuXDdl9gZ/H PilpdpYLaO6cXj2nrZZ4cVDSqqIkk8oYZqzGQRjhXj5jFeor8OiqQ/cRX/Y6aux/i/uHN7i3VtTu /OZKfs7p3fmS6o3n271ftqTtvE7tSp2/s/P7Tzm/araLVVdWnr6nzlSuby5FRlnxawUkvmr4IUk0 hDJ4y8W8vz49NlSGoRw6sC+JuwKPqr4pbYNVtDM7lw+7dyT752T2zWtvTb/Xrbgg3Hm921OOq9mV +0tz9bdk5Df3TOwcHDjYMhNRVdLPWPSCQMGVm5mPixpgHjQ+dPLgeP8Ak6sqkKx/DXj/AJeiefMH qLsDLdjdk7S7k37vHfu3Phb0/wBe7Wr9ybGp8Jml29vDsyrp+xc7jq7Abs3FhKqahp83vasFf9lU yTQCip4lMgjLC0LKR4jCjMaD50/z8etEZUD4aVI9K9Er+OWNx9DR793tndp4TeOHosYMJT7c3FsI 73x26nxtLU9hbz2/DU0m6sBm9jTjr/aNX91ncfTV9XjKGqeWI003ikZRXgK9VFAOHVgXx0xHTGx8 H8j6zdfYW7Oj16iqsdTbe3Fi9ndp7o2t2F2RVRZabfOyY6nZ24MHtfr3DYjIVNDRYCkirqPJ1dGg nnq5ZnjijZmcJoq1NRp/n/Z1ZaEt6Af8V+3oG905LsLuH5XbV6V6mo+t/k3Jv2LakPQmb7Z2ZgNh bxqNrZHbP8b2xRTb223V9Zbt2/Pt+jimFG0+SjqXEcbiMzziMuJnVVe4Gn2gcOtYGmh456WEe8vk P0Di/k9T5Lr/AOVfSmBze5K749fLPfHUfaQ7I2Rndw0KS4Su2fueq7Owe5pqvK+LcJpVlh3ZFMkN etOsyGYIWw0MiIn+hsxoM5IOf8HVsqxqMgfs/wAPS5HzAxGa3T8T8H29W9E9mbD+Im26rbu1el/k R0BuboOv3lhMvtGkxOMh7C3fsSl7VwmYy2AxcFHPi6qqkpaR6iMVEsEjymRrlGdpW11Zgft+efXq noo49Btk9j9Fb86R+SGepPihm838kOxe1andfRma+NXbu1OwenerNhZvKUNb/cmv2RtzcOb3kItu U0NdDDFU4xpa37mAGSlWDS1aOFttLmnE18wT5+f+Xq2pWLtp8sfl0gulqnrXpbeHwt2v8mNuyY3r zL9k767u7Uwm6cNk6eSho8zVSdS9eZDcu3K2hFXW4rbNd13Jl5aRoHWopKuRHjKSMGXR6DKupu0A gfIf6vz6oBggjz6sH7tpfjVtLd+5Mvtzt7F98SbNSj762FQU+RxGdXBV/WFJkt75bcr5SjragYPb mdSlx+BmoVNOuQyORpjFAfsS6rty+hV41sDUg1P8OmjCg4ZJIPrjrYrpIkPE0HqPP9mKdVPfCXBr m/k115uDP2yGJ66q853Rul6hCy1NJ1Vhchv2M1btbSctuXE0VKpcgPNVIp1atJf2jbX3Pc9q2kZN xcRRg/6ZwG/YpJA/o9MXT6IJWPEr/l/ycejFVMtbkKmqyOQleoyOQqKnIV9TKby1NfXTyVdZPIxF 2kmqpnYn+pPvPIBUAWMURRQD0AwB+zoOE1Pz6//Ur+0H+g/2JuL/AI/p9PfQvoi670G34v8AT/Yf 8U9+r17q0b+Xjg9xdjU279kGT7fHbcSv3DtKsrGC0+VzFRReTO9f0Du6EVeVpVjrVdbpTPrcjU6e 4Q94uY9v5bTap4nVuYmdZIYwRqHhN/at6RkFoT5sGoK6Wo8NsG7Wt5Zy4gdKFvQn4SPmp7h9nRla uJqOoqaaXVqTWYFdWjLRveVtSMFMchCcqTdCCpAIt7kfY94st/2qw3jb31Ws6Bh8jwZT81aoP2dQ PeWk9hd3FlcpSeJyrfaDgj5EZ6h+RSDdtcepSzKA99UJIF1LHhvZt0n4deE5MkBRCXMWmU8KLDSP TrI1aUv9B71T161nroNKmpfHGWWGzAMBqvIWVraRZmUGwvyffj16vXBiRAlp/IzBogdKhCkgX0ep nK2Ce9/lnr1fl12JEjkZpJL8FkbyFUvpCP6CbK6qAfrz798qdeyfLrBrhSNpAVQsTIFd7JxyvkD6 x6lNyTbk+/daPXT18KvEZC6KE1KpP1PpsyqocCNL/X+h9+pjrdD1FlrgrSRpcxEyAkCwWSJPJKkT XHLL9OLD3unWwp/Ppsy+4qbFY6ryORZaOhxkTVdVUzMzpBAlPJOJR4hdnKrpCA3LED2WbxutjsO2 X287ncrDttrE0krtwVFFSfmfIDzJAGejTZdl3PmLd9t2LZrRrjdrydIYY1wXd2CgVOAM1ZjQKtWJ oD0jKPbvyz3rlOgm2b1XHtzbPye3DU7f6a3DuenWpodz1FFVywVtfmK4VIG36Snx9LNkBHJEsk+O heem86C/vBzmH7zHuPum47eeUOXLSz2S8l02z3KNLLKAT3SdyJHUDVooGC1KsxFes/OX/uuezOyb RzJ/XvnO83HmLZ4Vkvo7KRYIoNSg6YQY3eejFYxLq0+IwEiIDTpV1+2OwOtN9dmda9rRZfF9k7Xz 8T53bleaN8XhaCaho4MXXbGr6aioZMvsPdUMD19BVzNLKxmkjkZXjZBlD7W+4Fvz1tt21yTDzNbS Kt3akgiFitFeDALW09PEic1IqyMdSnrED3Q9vTyRuO3XO1zfV8mX8bPYXgFDKgbviuBkR3lsSI54 xStBIlY3B6yGqIhVohqYVZMJlbUYhdRGjt6z+4WtY+5V6jAqK8eunqGE5RuEaoXWVAB8s1N42jsW JKHk35tce6lcY49a0jqM07mKeN5AsjPDTyG4X9sMIwbaRqU6+T/tvdqdtD1YClOsEkyLHTa2DpHJ LH4WUyK6q8oLgEsrXNr3+o4PvWkVHXqft64NNIrPTxuQx+4jEQBjjEYQfaon6YgLAAKPp70KGoBP +Trwp5dcWaZefEnM5AgZ1AbVT+J24L6AJBf+vu2AB6dbp8+nDE0ORy1dicdjYKjIZOsqqPEY7E0M UklZlMnVyJFT0EIF0vNLa7HhE1O1lUkFm87xYbDt13uu5ThLOFCzHzPoqjzZjgDpVZWVzuN5BY2c eq4kagHp8z6AcT6dDL2zhtqbFosD0VvOKBqXcFeM7meyMZUyU2O3B2bS04A2rS5SmkWWjp9kUa+K gu3iq5FlmCllYjnrzvzbec27/e7ndmgdqIuaIg+BBn8I+IjixJ6yW2DZYNk2y2sbf8Iqx82c/E5+ 08PRQB0DlVjeyOpkqchjmqd/7GQF8hBHCk24cVRgXeStxca/abjpIYxcy04SpUc6Xtf2FEcx0VWo h8iKg/t/w9HBA/EKn+fQe9l/Hzpn5dbejzOOjp6Xd60oTF7hxTxrnaVVAIp452ATcGPgc/8AFvrb vGeI3jJJ9yPyF7oc0cgXB/dM/i7U7antJWJhepyU4mJz/Gnn8SuAF6TzWwkUa+Pr/n6pk7q+KHYf RGcp5t24iSTFx1oTbXYOCiqqGmaqhN4VTIQtHXbazgCXEMrpIdN4XlUBveaXLnN3t77w7cyS2EE1 8i/qW1yimeP1KHDPHWtJIyPLUEJp0TXMDwGrrVfI/wCrI6MF1B/MC/mC9LUtDjuuvln2TW4GgASj 2n2wmI7r2tFEukCngh7Gos3mqGnCcaaatgK34I49kG7+wvJN4WfbZruxfyCP4iA/JJakfk4+zpKJ 3TAc/nn+fVgezP57HzKxsUFP2f0H8bO24o4WpxX7cr+xens2YynieVYqbJb027HUyJf9FLHHf+zY W9x9uP3fd2Qk7XzLbSj/AIbG8Z/4xrH+Dp5bwg1K1PRm9uf8KBKKH07o+C3Y9MzFGln2j39sPP05 dF0t9rT7i2btypKuDdQ7AKfYZn9j+fYGIjjsZV9Vnp/J0X/D+fTovk8/5Dpby/z8uocpDU09V8Of kysdbDJT1NNNu7pZoZqaSAwTUs065x456WpgcowaO5BN7g+0R9m/cPV/ySIAvr9TD/0F1v66LgRj 7OgkT+cf0fgKOHH9efy1sosEGOhxNOu5+yOosFTDHUn3rUNFUnH7G3ZXzwUj5OpZQWazVMzfqkYl XB7Jc9S1EkVlEP6U4P8AxxX6ob2IHCkn9n+XpDbg/nW/KOtilpeq/i98Y+o4JXcrWZ3M787Mro9a BRIMdh064wLzxlQbPC6MRyLH2IrD2C3ZnU7nzFaxx0yIkkdv2sUX+XTTX5Hwr+09FA7G+cP8wjuW CqoN5/KnfW28BW+RKjanSGIwXSGBeCYkPTyVmy6Kn3XWRuvDGfJuzfk39yFtPs1yZtpjlvEmvJgM +I2lCf8ASrQ0+1j0ke6leoeU/ljop9F1lAK6bK1EM+QzNYxetzuYrKvN52tkYlpJKzM5WasydVJI 3JLynn3JW37ftOzx+DtW3w28fpGgX9p4n8yemC6ippnpZ0mw7HmK4+p4IJ5/xv8A1t7VtcD16qZc 4x0UDv3cNFnN0UHUW3aeZslicxTQZatkeCKknz+SajpKXHRrI4YwYyCoZ55QP13Vb6SRjD7vb6m6 cw222282qKxhKtTylkIZx6EhFReGCWHRvYxnwSzDLZ/Lq8f5HfyZtwdB/C7qXduZyvVc2/N50VBs 1oM3Dk8Jk9nbm3BtKt33HXUuT2/jZ13buHPybKno6gZWaWiwgyVRLE7wrrhhQHcYrln0rJbGoCop 1jNaklqEBRQgAGtOlytE9UUESDzPD/P1R71X0dt/K1WMyO8OwMv13np9zvj6TI4fJbegG3sRRYRM tJn6umzWXwwy0NbNLLTk01ZDJjlgM0kNUk0SFbJIsqgKSVYVyKH7COtAHzPQb9R7b3ru7f8AT57A 1WJzu6NomLdqDeL1WSxk/wDB8lj8ZiRXrHFWz171OVydHDTiVRBE7iSYxU0Uk8e3FIirmhIND6VF D15RU1OTWvUbtmXOVu+8pnd64zHU+496vtzduZpcFk6KpxWUxW68PjN1UFVQVFAan+HfxfA1ayTX kllSpndpQsupPe441jQIpOj7erE1NT0LsPbnxe3/ALgny/b/AFnmcZn8jSjEq21oI8R1/thaDf8A UZGmlipdpVlPvDcSV+wZFwEJmSOWgjpDJqqmqvJRtPrVtI+HrWoEnUM+XSg2rvfauO3lLh+k/kl2 JtPY+M2Lu3MQS7mpdoY7wbhlFJ19UbHxEW/s/FUrt7dmz46iYUaV0kzUOQpqCaOEiprA0xIPw9bN Kihx0K/YvRXyR3XtaTKHt/Yuc613XhNuYY0tJ2BFtOh3Ph9t747Aye1k3bs6PMbl2xtfdOKaWTON j2qUGMpMtSzSLHUS1CiyaY0+Rz17PGvRBvkls7tLHb83dv7sLYtdtyg3Xu/KIs0hxNdh6HKFpJBt eSswh/hlNmMNj6UQS0xjppFemf8AaVkdE1rVqgfFxH29VYHzB6Nr8M+9s3gKPr/JbZr4aTOdJbxx mZx1LmMbjslgf49l6jKNg8nUQ1axpUR5CCnngeOWRBHLEWUq7ozYze523czbNzR/Wq3Hi7Y8sbI+ kOInVAmh1IxwqCTQ8eui/wB2/mb2+5u9sm9s7+UW/MsdtPHNGCY3uIHleUSwuPibvAcDvBAqClOh u3Hk5M1F2dvaoxENfufO4bfs1ZhIMRSUG383X71pV2vSY6hxNPJCtVQ7l3ZuunhekijFM84gaMNL HGUCvtzc7pPzhYPCxQyTSNNpAC6Tk0AwKn5AU8q9SN94O15atfaPe7e+jjk8G1hitS7a5zJrVEGp gWogoS1QxAYHoNOp/iP8e9xbk2dgtw70yUFPujetPtbObi7DjozQ7IwCaKGv3VPL1xuLIYCoNLko p4Wg/iE8kbIrTGEa1WRecfcPdrbmmHYtt3aLb7OOZEld4w9QyB/FJP4KnTpADYrUCvUB+0fsHyru fttec98x7Bc7xfTW0slvBFcGDuikeMQBYzqaVtBkLsSAGCKpbiN+5PjN1vQZffnX3UWUgwW2sJHl 6fDdu7B3l2Vt7FbkGPo2lpN4ZvF53dddhMziqn7ZRlqKeGjrI6B2qaSrJgFHMY8t+5tzd70dm3SO GaLXpjuIxoVs0HYSaE+mD506IfcH7uO3bLyYebuX572zvBB4sthcskrxYq0bSppJ00osmk5w9Pi6 qDm6/wB85DceG2X/AHU3C27NyU+Imwu06jEV1Lm8nSbioEzGIqKTGVUNPO+OyeKk+7iqdK07Ut5t YjUuJsVIwSwNXBoT8x9v+TrD5nd6FnJH24/1evRo8lsnH77poq7fmKx++e0tq7fhh3O3QvePX2V3 nufBbMxFNjoMluXZmRw+5KXObk21t/FJFW5Ha1XXtUUtKKmoomlSoq5dMNNStak9apUDOemDZvem N3Uo6Yw3TG2JMBu+YbM60pps5Nks7tHPb3WTDPubK7kyWDyFfuXP5bc1dQZivr6L+B1clRiaGngl p8bSpRe7AVQAY61qFM9bHPS+2uv8xvPp7aeE3Fnc5sPF5CjzHY+Ey0OIx2xtv03XdBj8hTZ3aMux 965DZO5myHT3UE1JUZGvxKZQY7IwE1CTVUqKhdqtNNwCjB+3FD/xQ49OHgqUyTn/AA9Ed7E+OO7O xdi9yfJWPuLBYiu+WtTvPcG59n5fcG09yY/Cbx3s0249sYDObSp6/bnYOwq/EY3OYvbtNlhBn46I 5OComp1inQRvxuECRsPhA+3Ir1r4iWB41/ZX/NTquP48/Fzsbe+8+0eps73Pivjhsqj69zu6t2b/ AN4VW8qfp3euJxDVC4HHnO7epYsRnMTvCnWunw9ZIWp8lR0tSKYTu3hd6VwsZkOadVC1xWnQ3bf7 D+SZ+BfY++8ptPKZj4s7s7a23sztn+7XbeG2htfs3tTCYzb8O2shvvYS7dyG/TX1FLicWcjU4LJY EZ37SKaeLyRTVQoTqa3ErUrkKeAPz+fW1B0tQ8AAaefl/wAX0BGR7K3lt/pHFdlZ3aOx8Zu7dvbq ZvAPvDr3Y+Zh7I6/O0aXBYE7DpshjP767H2N0lP19Hi6GTGVtPQVX8b8aTGppZC7rVBSpJwR1T+I gU/1eXTTgfmNU0mzch13kdsbt2vsjLb7wnZ+R2v0/wByb22rs6v7H29kqPJ4remZ697HHbe0s7lq erx8DjWlOjyQRluUUjZiFI89q5A8vt63Xy6M/nPnftftnL/JHdXYOZ2Nubsr5N9VYLq/Kbq77+Od BE3VkOCparH43I9aZvoPL11JTVUVNUCaomqNuRiprooKmaN2hClpoCVK6iKtqxjPy6sW9KcKdKLb myfgh2t2d1JLW9TZbZ3VOyOkshge0Mn8Xu/Nqdi9odk90UUFFFt3fuO2P2fLsjfGGwVXUwTGamhx 7VbVFWhkPjiINnaTVOy4B+EfOn+DrXb2gca56hYXrLd2K2T8cNuU3zJz+z+1u8+18r15uzoj5V7D 3FS9a9K7agrc8m3N7bs3R2rhNybBrcHW0dPQ6moqYpJVZFkiVFp3c78RhIqNHVNNSeGcder2sa91 eHRRu8N1ZDqjc/dPREWH+N2ezFLVyddbl7m6IwklJh94YbD7nwe666HbVbt/L0Gw6rE5bM4SjSqq IsMlQVpngSUIWLuw0lRHpQA1p88j/V5dbI0sa9P/AMSqeqw+2+2t108ktPVZ/H4Lq+nqIiFEuLzF Yd07vpPWrBo6ik27jYZNNiEqLXsbGZPZrakvuaLjcJowUsbcstc0kkOhCB6qokofLUeivcpCqRxK eJqfy4f5eh4NObn8/wBP+N/4e8pq9EtfXr//1SDsyqASR/xHF/8ADn30J6I+ll1tsXMdn7xxu0cF aOSrkWXI5Foy8GJxiSItRXzrcBiurRGhI8krKvAuQGecebNs5K2G633dGqi0WOMGjTStXTGvHJoS WpRVDMcDp+3t3uJFjQf7A8z1ZxmNy4P464LCjZsy4dOvKZa2jyJfVW1GSik+/lrq0poWrqsjU3M6 2Ak1+OwUKo528zczbvzTvd3ve6S676VwaD4UUYWNB5Igwo4nJYliSRnBbw28AiQAAfzPmT/q4fZ0 aSpyVJ3T17ge/tv4uPGjcrou9NtRASVW0N5VPjNRQ1Yj/wCXfuGZzPSyABDWySJ/ykxKJp9m/cGL ZL47JuU1Npu5AFJJpFOe0MfJUlwHOFBAY8T1GfuByy93Cu82URN1Gp1qAO5B544sv/Ha+Y6Cdsii pKkbCRBYKY9TaBI2jSoVPXduFI4/x95fAkgGnULaagHy6xvXoRFpjkALFFkICCF0LizoxDFWVLH3 uh9cde08c9Ymr54/KdKep6hQ7SsC708ZkC6QFARlHAvz73TrekdQWqnLrqmQAypqljFlQS05lBAY yqhDcXA/w9+4dbAA68awh6NnZ2aSPTIjOQt2KASsrEJqPjJvb3sAdbp+zqOkioKhzH/mopElL2/c k8pZGA+rAIeD/h791rj1j80xjGpwCpejkuAdEUniUFSSt2HBub8e/db67Mx8hs58fmUaGI5eelIM muPS2kg2I9+/w9e65YnbGzN+5bb+z+wdwVm3tg5vce0V3nmsZB97k8ftyPP0U2Z+1iDM5dqCB49Q 1NErmQKxQKQF7ncqz87ci8wcsW8pSe6jShBoW8OVJClf6YQr6AkVx0Pva/nX/W7572DnIWizNZtL RWrQNLBJErmmf02kD446dPn0ancX8wH43bBg+U/xIq8BvXc/xz2dNWZb4e7gxOVq23Z1/wBlbWip qrC4vZu6ZWXNbe2Xi9+RvXbSy8kk82Noonp5jNRyxRrzTv8AmTb9luuZOU9ysrhbS2kb6ZSGSWKW OgKAMFZVWT+xfI01WpBA66XbP7b8ycy23IXudt262X7/ALxV/eR7Gt7m1mB1SSqKxSStB23cQoHc qy6ZA3SU7I+VtJ8wek+i9x9g9arj/k7silz20949kUlLJRQbr2aaelWgqsbjaIrNNT7py3+WS0lR TiPGVtPMaM+Oqt7y++71y3u80MfPvNG1S2W4G3a1iMgMTTxMyOZSjaT4ZKjQGGWLOg0kE4a/eI3D ZeXt53X235J5ijv+VXu47x40ZZRa3CLIgg8bIMiK5VzE3cnhrLV0oA22f1N2r2FMaTaHX27twGfI GjeXF4SqMEFdSzUVJLRVNVWilo6KshrKqCOQSSKYpJUVgpYD3kpfb9s+2prvtyhjXSGywrpIJBAy SCASKA1APWNNvt99dkC2tZHJNOB4+lTjzAyRSor1F7D6w311Zl8Zid8YSXBVeUxFNncUyZTC5mhy tB9zVUCVlLksFkMpjJmgrKKSGaNJvJDKhRwrC3u+17xt28xzS7dceIkb6G7WUhqA0IdVbIIINKEH j1u7sLmyaOO6j0sy6hkMCOGCCQc4PocHpANNGIiBEiySsBPfU5spBZ2aRiLA88/4f09mWqnl0kpQ 8euxUxw2MRtE1kCRsp9dwAUIBtqvYi/vWoenXqDrEZgQySBrs92YKeHcFkIN7XUKB/sPdevY6hyV qwwSVlTLHTQ08BlM8sgSKKJmZJZpSbFSgS/+t7anmigillmcJGqkljwFBx/L9p8hXpyNHkkSGJC0 rGgAqak8OHQIP86Oqesc5X7do8nLiO3Mqpxu19yV0aLtzZOBq0SOXLCuErCh3hucuaemNUsa01I5 kDqZE8kBe8PLnuBzDtkO57Vtry8tQqWMMebhT5yvFxcgcBHqKAkEaq0nHkvl2LaLd7i4XVuMmGby UcSi/wDPxzUinAdWhdH4LYvzX6aznVm6qr7CuzeOeGkzVBJD/FNtbkpFE+D3LiJZCbV2LrxHUx2N pUBRrpIwOHE3a4DcQc/tpQ5qDUUyPI5PUiIweP5f6s9EC+O3eO/Os+6NzfE35KY5cD3F13ka/GUl TKjJiOx9u4qolp49zbZqJwUqo6uiCVEkAPkgSTnhTZy5hWKP6yFy9mxofMxt5gj0rgH061G+p2ik /tR/Meo6On8kPixuXZvX0ny4+L1HHk4KWSGftHr1BPBjZtU8ajdOPSk1tQymQ+CuKxkRmRKjTZJQ zu2LtM97bR75cSw7Y7jVNFQvFUUDaT2sgY63XBIBAIPVm1IwKCrny9f9np9+I3y/6S7zwdRg9/7F 2nulGpzht+bI33gcbk8pT2kNJVUO4sVWwTJkqBZYyqVUQZlYA6g6kKI+ZeWubfbXe9vl3JmVsSWe 4W5YQzKKskkcqYVmSheNqOAcqymprE0N7E6RZNKMpGR6kD/BToGPlr/J6wWWp8j3L8EGm3Nt2VjX 7i+OlTkBkd27aE37k1b1jlqyoabdu34yx/3GVMjV9MgtBLUKBEmT3t17/wBhusEG2c53CW18qCly 1FikFOMhACq3qwovqF4kOXm3ywkvGpaM+nH8+ql98/HnsrqXOx7V7R2Burrzcb0ceQjw27cHWYet qKCZrR11GtVEkVdRu/p8sLyRh7qSDx7nrb982veLYXu07lDdWhNNcTq61HEVB4/I9FDs0ZKuhDeh 6YYNiXteJjx+FH+wH1+vtUbkevVfEPp0+0uxB6R4Lnk/Qf8AFObn221yPXHVdbevSjptgrwfCP8A bDg83+vtk3Xz6qXJ8+lHTbAQW1IgFh/Yvb8nkf6/tpro+vWi3p0/02x6ZbEgA35IUX/4ixHto3RN etEnyHT5DtCkQAiEnm3I+t/qR/re2TcN69e1dO8G2IP21SC7MwQGw+pIAB+ptzx7p4xJyevVJxXq gn5C7pp6ju/sbJ7VWOlMm9vPSLUSWppqqiV6KTWyN5o4a1irtpZSl2IsbWw+5hvRum/b3uMNBHLd uVPqFOkHyrUKCD6EDy6EcCmKKNa9wUft4n/D0Yn5OfzFvmH3jtPqXr/uz5KUu98H1rhpMT1/T7Wz 2JFBQiowkm36nNbsrsDhNv5av3LV7ZyMmOTIZ2J6wU0lQhRPJLLKVRzBHL+GNXz/AMn2+fTvw/CA CeiZ4H5I9mbfhzW1KCqWravxGexMtXVUceSqqKjrsZLj8pLE6GaCrhp8YrGLzCaliKCSxA9tXRin KSlaTA4Ix+XXg7AkVz0KfxlxnYVb2HjqLYO6dv4HcFFj1wkVZvjei7N2LmZcnhc3nN04rd266nMb fSkw9fiXFDIr1am5j5sq+0jyrGQrkmvVtNfPh0u+2tu713T2ZujceK27uLO4mvzDRYB6Seu3LJOJ YqSjx6JPPUVebjSrlpClJDWgVEVKY4iToN1COFFCOrEGuBXpoyfR+IxGyn3Bnd6wba3jQbXy+eye x8/RU1HnYK7D5OfE0uBqcVLX024MPW5k1+NmpHq6MLVJkJJYRJBA8g0WqxNMdU0mvXLqn5CZnqza kGy/7l7T3BhKbctTu6mqZ6OlhzbZnIQ4mhyByWRqcflY8tjZsXhIIIKSaP7emfVOimY6vfmUnz8u rA08ugixu5M1hZshk6HL1lBWSvNU1c2IqHxUUtRkWkqK1o6LGmlpYYap5SGhSNYlQBQoVQo9RAAA Mgf4OvdNfZfdnaXalDBhd87urtxYzH57J7joKSqp8fTQ0uczjzy5rIRw42ioYPuctUVDzVLlC0s7 F2JYn22FWtaZ6qTXj0ldhbs3DsjNSZPBVFHFJUUk9FkKLJUNDlcNmcSZKepnxuaw+RSWhyeNappY pSrrqgmjjmieKaOORWLm1hvIZLe5jDwMKFTwNRTP+TpVY3t5tt5b3+33Tw3sTakdCVZT6gjo6PyV oe7Nl9V9PZTcuV6s23h+7du0O+6fY3XdZXxdi4qjoRhs1tjIdqY7dOQy29cHj8zBk6fJbe/ysY2v RDU06ERxP7Itr2HZLCa5/d21pCyNQtTLeePl0K+Yucub9/trA79zJNeRMupUZvhoSo1AADV21zkf n0Hnxx7m33hsxnKHd1buPdG1P4XU5CXOV9LntzJsWqWooljz2brsdBks5RbTkB8FS6pULSyTJMtP KVeJwrzr7ebXzMVu45Ett6B0K5oA+KhCv4iOIIyOpN9nvfnmj24ZtpnhfcOTi7SSwaavDUgO8Umf DBJ7kPYTkaWrU4m6PkDsHCde7ppot80+ZyW5cNlcZiNo7YytbmD/ABjcdNFg81l8hkBgMdhNvYfH 7XqKsIsklVk6mseG8CxiQqDeT/avdto3e2vt3uV+ngkLhFIOpwCARTgPMjj9h6lv3V+8ry5zXyxu Owctbe63F5CIZJZE0lItQZlqTUsaEDSNOePQYUvc2xd75veG4cPs3e0u29o7CpJd3bMrNx1VVnqn qnL9wYSu7qxm0s1PlcplKJajr9qOhyldE1H9zj2yFTJS0sclY0k6qrgMWOf8w6wqkkV5GKjH8ul9 1bB8Ft77v39v7C1PaHVG8Ns9mU3ZfS3X8XlqaDHdZdbYnB753K2S3RiimMxeQan23n6oxgH7SJqS np5pGcuN1mAAapavH5dN0jY14dTPjX01STd+dO5LK7Z2zt/M4famc7j3lm/4V3riJ8FPWiXF0Nbu zbtZhvsqLG7Q35vzFxzVm1Ulgov4M2uNELOzyuAGDNSnn1TjTt49WrdpdiwbL6Z+Sfcm7N5VWUmw +yMN0bj+zNnzbayhz+K7W3pQ9YyR7OqcNtzYkWSNHsDbmfqneqjepeqydUy1UkEsLqgTuWAVY65C Wr/k+VAD+fTrE6y1R2jHp8j9vHorXa/zW+GW89mvQ+XKfZ7hwW3tuNi9nZvsyHOY3PYzL0O6ZNx1 dZuXD4fcOx9tYjJbWx0VPBjMjkSJKwotPU02OgjlVeG5ZyyUrwzXh5/YeqkrpA9FI+2vQC0FTuLb lD11vTYXam5u4esevqjs3srNdlw5yXbUmao+qdiYfZvQnTmf21FuCDOYyhg3RiHx+MxdbTxtNQ5p TFCiTCFH3rSVXA4ftr/qr02DkEVFDXoBe/ayr2x1x2h1zlMZj4cF1nvbp7o3Y0NXs/DYjLQb42Ps 3IZju7e38Yjx9PuCbK5vN4lkqDU1NRFLBneAoWMj3xSKxGan+WP8nXq0Wg4Y/nn/AC9LzZlD0Jvv 4u7JzvZfZfQG5++6Ddm0un8F1Funbu5tk7wwfVIzmN2xtfc24u2tjVm0oBt7bOMkq6mpmrDnKimo vBaLWz38TSRAMqxpX0wTU/4Pz6soGmp49Kzsb+Xvtyiy/wAjBtjGbmqNt/F7CbV3F2x2V0j2h1p8 gerMTit5YRNw43IUUO4G6t3TUUVBQRSLVpHlMjLBOBEHeb0GqzNpVpFoC2n18q1+zrenh9lf9Q6K Zvf4e7p2nUYCjm3lgcNkt17fTdW3tudsbb330fubK7cmYGnzNCm/tuQ7NqaCSBg7zx56SKMA63Hp LOeKCWH4hx61Q+Y6CbL/AB37nxNNUV9T1ruPO4akj+5nz+z6aj7E2xDSq2gV0m4tjT7mwEVI5Ppk epVWHI+nDgI9evU49Nu0+4e3NgBsZtLsne+3aSASU0+Cptw5RcKkZd/PT1m2a2eowc0LvxJDNSup 5DDji+mpp59ermnRyd+/HrsDt7B9PZ7F4/ZOM3Adg7fot/5en2ou048rvTfOUwm/cJLuWn2FtFcN FlY9jdx7epaeNKQVktLi62qlvBTtJ71qCvKq8cH9hP8An6oQacPn0teq9qz7R6r2rgqkwSVtXkt2 bmyUtDUQVlBUT1+Yfb2PqKHI0zPS5THzYXa8E1LUxs0U0M4dGKMCcoPZTbhb8t3u7Mn6l7cmhPEp Eulf+NmTh/k6Idwk1XJFfhx0tGp/8Px/xrj3MdekXX//1q0slnI6dJHMgAQFiT9AACfr+PfRFIix AA6KQtadWx/D/r87R2RR5ytiC7k3Zi4d0ZJmQGakoq3X/d3HXJ1KKfGP52W3+fqGvfStsEffDnR+ Zeb7jaraX/dPtjNCg8mlqPHlJ+bDwkp+FSeDdCbbrYQQFjl34/YOA/yn8uix/Lvc+QrewOuOuIZG VN1bnfK5VLkGfH4yZWp6c2/sTVsqM3/LK3uF4VGie4rw7R+fH9lOl7HKJ0dHrLtXIdLbuxNFJRrl djZLb/2W/wDa89zR57BOBDIqp5ItOTpyxenfUpD8XUkOtIpPp2WoqhoG+YqK16uVDAg8B/qz8ulH Vdn9R743VmqfqjdD7hwQVZ8DkKhIo2zMKOs1dT00qMRXZLb9brpas6I2qHiaZY1LOvvOr2/3LmPa ti2Kz50i8P6hF8CVqgrqzHDc6idEjJRomNFYUQ0cUME848tLZ3FxuG1xVs61dBU+GTxK4yh/4yfl SkmeQ+IiaSyx1R8vqsH8hjdipXRYIkxuBf3LANageXUfj1Hn/qx1006CZZC2nXOtltZfDNT/AK+Q SrqDYm9/8Pe6+Xl16teuKyFo3CRsYkqEdNdkEsXkYuNTlbgKRa/v3W6Z6woXcIvpj1IVBkLEgLO0 0YUqbMdHA+n9fx79WnXqfs6yVDM88+mRQrR6GjCMqjUpCXuxOsXJBt7rUVNOvBT1xZoWhIJlLzSa nLO1telFYlI1TiMLe3NuD70zClevaTXqxPoX4mdab5626q7e3Rus0uMpM1v/AHL3Vt2vrkpTH09s SryeKXL7XkpIYMktTHm6Cno60pPJLbIq6CPQNcW8w867tt27bxstnaVmMcMdq4Ff8ZkCnS9ajKFn Wop2HjXAu2zYbK6s7G/nuSE1O8y1p+ktRqXFeIAOfxYpTpc7h+GvXmy8Jn8rQbYzfZ+8V3Fm8VDs 7Ldv7X6mwO18PSbr7CwdPuqszmSgpchlMTFS4LFokYmEhlqWd2ZWsC22563W/ubaKS8S0sWiVvFW 1kuHdmjhfwwowpJeTNDgAeXSqXl60topnWFprgORoaVYgo1yKG1HJGF8/n1ief4J7WNAYV6Ro974 nD4lsZms9tHeHYmLxGc3J1PlKiorNz1NVlt14fsum272AKYTw0FHTRY52VNMrFgqSWx5x3Oc313Y 3E0Rd+7/ABeOXQlwoHhMY0kttcIIDM7auOBxWR7jtdlbfRWu4CJSASgaYxamiOXQOY5aSUqAmPnT qfmO/OusvhINr9TZftzB7hxqx5zedb8d+hsRgNvd351dm7Qo8jPkKTKjG5PZG2KXK45w9KKUrJBW mRYwWQ+3oOX91huvqt5hs5LdqrELy7Z3tlEshABXUssmlh3FqVUAnHSWTc7JoRBYmdJBQt4EIVJW 0KCCMMi1BwBmtfPpW7c+Q3yKqMlu7IbR+LeGw1L/AKStxZqko8/JguqVoKHeNfsXddM29cbk5cZU 57MVlRt6OSoyskwWs++CC2hQUVzy5ywkNrDe82SyMLZUOgPcVMSzR/psC2hAJKKnlprw6UR7tury zvb7OqjxmIrSOmoxv3gkaiSuW8weiDfIHC9r1a0G5+4d+9W1+Zw8I2dtrY2wNwbMr6nb0C5DIZT+ CxbZ64pY9t7Yx1Ks08800r6mnIR7ySL7kTlufaInltNm227WOQ+JJLMsq6zQLq1zHW7EaQAMaRjA 6DG6pfMFlvruAsvaqIyHSKk0CxgKBxr8+OT0V8sWmniQKFmQrdh9ZVjZgFAB5sRcexfnNeic09eu XraJtICmaYAJpN1aM6b2PIJaL+nHvfWqdR6qppqCH+IZCqSnoVVJJp5n9KtClgeE9TH6BRdmJ4B9 sTzw28fjTvpjB45/YAOLHyWlT5Dp2CGa5mSCCEvO3BRxr/q49VufKD5YQbfSq2ds+pgmz5BTwG1R BhEdtcOQzq8xVFaqOJKXHA/UiSf0aUke2vaLndJkvL8FNvU1SLzJ46nPma8AO1AMVfKy7y1ysm2q Ly6UNeMOPkvyTz+TN+S+Z6qhrKuoyVVWV2Qnnrq+vqZautrq2RqqprquUs71VVLICZJpWa7XFvwO PY8QBFVYxRRgUxT7OhpUgihx+ynV6/8AJC7myeL7rfrGvyM81D/kWWwsNVO0zwY9qlaKuoqVXYst LSTtE0afpQTlRxYDCD7z/Jdlte5bHzbt1mkUV7rhuNC6VMyAyJIQKKGkQuGbizICc8TWxlLB0Zsj PVu38634xY3Pb4667L2towHZFVtpN37D3XSR6Kyg3nsqWKKaCWRV1T0GVoKmniqoW1LNFqUjm4xY jkezumTjbzIA4r+R/MeR9el4HjRsw/tENB+ytOrAf5UXY9P3Z0vU4HceOiaj3NtcxZ/A1ISaGkqq mkmxW5MS6PctFFXQzot+SgBPPtRtlus1y9iaFGDL+VMV+YH59MXbssSTjDCh/wBX59UDfzJ/i5nf iD8gsN2l1/U1e3MLvfKZegiyOMYwRUe7sFJ+9HIAviZNwYcLNJC6sk0kMxIOo2yz9jN42/njknd/ bTm60jvF27CLIK67Z2ISh+JXt5O1XUhlVo9JFOivcg0EsN9bMVDgfkaZr6g/z6NH8R/lpnM7gqGH KZd8ZmDk/t8+mIl/dkoaCOmroshRw1SzQUcORCPEVdZIop3MzBlhVfeO/u/7et7ccx21nbTzzcvX SGS3kkA7SCfEgZwArPGNJBoC6NUjBPRvtl9HeQszqFuEw1PP+lT/AA0x+3q83M7C2b8yemVwff8A 1nXPSTJU1uxczOKfC7k2xEKdoqLce2K8octhkqqTTM8dV5lqIQq1ETX0ok5K5y5h5Quf3ltt2yK1 A0bZjkQZ0umAPPvy61x0lv7G3uKqFXjxHGv+r/P1VZ3t/Lb60w3Tddmvjpl969j9p9fZ3GQb2wNR VUdbkdy7fyiSrVVmO25Bj8dLjMjiJkWohhpBKtVRGYKJHi1DIXkT3zff+YLqw5jaztdukU+Cy1UR uKUSR3Y6tYrRiFFRj06Jb7ZjbxRvb6mamRx/kOqt6zY2Z29kajDbhw2VwGZomRa3E5vG1WIydHrU SJ91QZCGnrIFkjIZC6AMOQSPeQMV5BcxJPazpJA3BkYMp+wgkH8uiEhlYhwQfQ9TKbBhbAKBxyOQ Sbn68AE+/NKfXrQ6eYcJwPSSP6XB/H+8i/tkydb4dOKYVfRqReCLcLYH/eePdTL889eoep0eFHNk 4JHFyR9QfoSAOPdDL8+tgeXSR7OysWw+t977xlGgbf21k62nN0UfePB9rQgOwIA++qIySeAAfz7I eZd2/dew7teqw8RIWC183btUfPJGOlFvCHuIlb4Sc/Z1TL8afhjnfkPvSgztNlNubqwdBQ1u/ey9 o0W5otr73xeOiw1TuSDCLTbhjonqZMpLNS0QrKcvD9xNNHrC000y4e7nu1ls9vHLfT6EJCg0YgsT TJUGgyOPDoTwWk1yzmNCwUEn5AeZ6Kp2T1Buqoz26czgdh7tpev6XfuU2fQZmroXzeHxeSpcjS0P 93qzdOEhrMLk6zDtk6aGWWnkczeSNxcyqCZI3iImalhUfsr0yfM/h6a/kF09vX4/b33D0puDfW29 x5LascWIzjdfbjhzGHoZ5IVqa/aVdkqaKmlmqcHWEwVtIzyQQToU/VdRSNllXUoxXrRFOuXUOztz 0+Y2ZDu/YEtdtffGUqtybe3XuOPdeOeVNmxq2Tr9uVdHl8fhdwU1NVTUyzx1dNWojzRFSgY6vYLG nxAdbWtQOjvNvXAvn5NsQ+VK55vEymBpqKXICOSUUonnkctMgdwoK6FPpVib+/EdOg+XRz+mdqbZ wvUHanc/ZPVvd269i4qmi2ViewOpYdn5TC7E3fnkk/h1J2bt7c9RFVZrZeTxmOEX+TJPT4yWqiqK mF0KWL7+aZAiW0yRzEgDVShNRUZ4nTXAz04gGqrKSgGfWnr+2nVbHXHQR777H3lszrreOw9l0GxO usz2LuPcfZ24J9obcx0GA8hrMXPmq2lehpaysqaijoaRnZIZaushijMkzCJlzS6CofiTQHyNAKn5 DpnTUkL5CvRV4qp3+6XkfcIx0SoVlXUNUYZT+h1Btb6g8e71JBHVekpJSS6uFJuT6QCebkG/4vz+ feqEdap1ZB8G6DpTeOZ6m6V33uPJ0Ge7D+SezJtxbfyGwcZunaeT2jQw0zUVXTTthq7Kz7ipZqCa CGFa7HJoyNRGVnhlljmLN2a4SxujaxO85jOkLx1Go4edBkDzOOPSi18PxohNpMWsEg8CBxFfQ8D0 e3+YXU7Y+VP8xranVmNXI7p6zwtNVn+LbVo6Xau9tx9ebfweK2li6zEtueCOmpTkIevRUY9DHFRv R1yVMaRpK0oSbHDNbbePGlkdiWarijZOKg54AUrwHp0ov5YZ7jXDbLElBUA1z554ca8OhHpOjuuv h3/Lf7h7j2lVdl4HcHyxp927Y25ufLDDzV+Q6O2zt3PU1VtvC5CbBVGHGI3Xv7JYla2qjpqLJZbH 5CCOnmpBG6SJUvHvt3ktJbIGG3J0S4I1UGv5hq0BP8+lfhi12rxINwGqaniRCoNKkr8mXiacK/Po s/xH/l3bl3z2luPd3asOxuwOuOoeldy93732vh90z5HIbjyWPoZqbCdbZvGriG3TjcjTVTrk8q9P QVbfwWld6KSWWWN0Nby/toQsMlx4c0tdJyK6QK5/1efSG3hlb9ZYNaKc4qM4AP8As9EVyfXHaA7I 7d7D6V2VLsrF9KdgbrkqKjYm4XrxsSmizedpafD4zK5nJfxzelDisXRT014aepmnxqaq2LxyuWWp 2xp4zAmnH8q5/wBnpL5mnDP+r/Y6GP5WbD7I6D636cp9x7y2Bt3fvffW6707M6m2X01t3qTsLYu2 svV0mV2hiuzMthNsYWrr6ffGLeLI/wAMjlpzG0WmspQPGC3BJ4jsQO2mM1x8/t/wdXkSNBGyvVio rilCRkA1NacPL7Oh++D29d57i21vzursyOk7erYNyUu0tvYremNxWUpq+Cnocju7euWmpsTn9mbk lzWS3VlNv1EudheuqGqqFYquRS0LrqfQBTgT1Vat9g/ydDD3bvHC93DqH4bY+izNbSVnde9d59mV c+7JsVPuravS2zv9F+xYsdWV9Bm90YvG53K4etqcak8NXUijVHUkOs42qA0cvXSv7T51/KnXiRQi uCw/YP8AZPVHPaFFsrEb0zNBsMbvh21Rx0EJpN90tDSbkx2dTH0v96MNXrj2+3lpcBuL7qhppyEk rKenSoeOF5TDG+CpCsOBGPs6bNASKcOrBOwF35SdI/Fz4xZ/cexcbtPG9iJuOmpeyuoa3YuZw2al 2/LvneU+d7RxK7hyWf6twNdvapxEkeOX+MNkIamOqpl+0oNbNNUxYgUpQf7PVtXaARXoda7tTqjt H5gxbp7YodrdZdG9QbL683NiNvYzce9e9Osdqvu7+6jS9prt3dWDepqJ8qMtisfNQS4CCCg8lJJV UzvSiSTaEohb8Vcf7HWzRtOKLT/Y6buzOr9ldxLvTLbO+S2wu8Md2hvvuOTbnXLx12O3J02uGrMz Lsvs3Bpl2q8ztbZOT3FR0GMkxjGnpslitzUVLHHUV0Oqm1ESQFcUapP+r7etuMag1eAp1i7c+CWa 6SxG2cLtrpru3dWxNwdM0nYfc++cVumrxW6qShbJ5Opj3DhtkY2sk2juHbEGChhy8GGr8ZPUSS0l dCK7/JZayPwmRnk1YAPpwPn17QRlcin8us2w+3fnJ0vkc18kN5fIra1di+ptrVvxb2lm+5cEe4Kr M9X5LcuSoKWDZPWuQo6jNpsdc1tWSojyTslRTyQaR5o42HuyhaFBxc8Rx+z5A9aBYMCRgdB7tDvv aG1aj4c9Tbz+LGzN8bU6y3Nlt7V/ZPxozG7dn9v/ACy2FueXcMMOMr92YQYvOGtwOeEv3MMkFJWR 1WJ+1q4acRMS7pPiOQyig4fl68PzA+3qpYZoOJ8vL/B0re2+3eoIOqu5svvnbHe+2+9st2tV1vxu 6F70642j3D1pT9BZDIY2d8Xmuz+wtuLv+vzeIilrYJaihrlkWoNMkQCM7rVFkBt0UqD+Ij4s/Pj+ fV2Yd5I+zrhnvjd2Nure+e7G2P8AIHKbJ35l+wM31nk6yXb2S662bV9oYTYwod3bU65n2Vu/cOXm 6+2lgqatwb1MmLNPQ4yjMdcYoZtLOySLGrh1qgBNK8QCfP7Rj506pjBalR0vKvEUeO+3w+OVf4Xt /HYvbeN0DSDQ7cxlLhKeUKOP8oSg8pP5Zyfz7zg5T239y8tbHthFJIrZNX+nYa3rTz1s3QTmZnlk dviLV6a5KL/C5/17cf1/HNvYjDA9N1PX/9eofGv/AHp7A6/2QZCBvTfe09ryWGu1Nm8/QY+sYqOW EdJO7H+oFvfQnfL4bNy/v28Z/wAUs5pfnVI2ZafOoFPn1S0gDupYYHWyNtF6On3rk8PGsKwzbdxm SoI6TSIhQCvrqNI4ECreOBHijXj8C/vlfLNLLLJJMSZTkk5JPmT8yanoTKooB1XN839tvsj5Q/Gb ceQp5I8RuWsz+EiqWj/ydchRvjsrHTFzcLJNSVDsAfqIz/T25BRrO7C8QVb7Bmp+zpuRdLxfOvS2 +ZkGX2P1huDfGHJRJ9p1GNSqQNopZ8i1PT0lUXUhkKNUsQR9GAP1t7F/tvs9pzBz/wAnbXfoHs5b 6MuvkypWQofkwSh8tNevTMVWQ/LqlDqjuTcnV2XilpJa2pwktZDU1+KSoNO0U8AVIcrhZla+OzsC otpCDHOgEcoICsvTPddps93tpba6hRo3UqQwqpB/Cw819PMHIp0SS26SDiA4HH/CD5EHzHV2vTPy O2r2Rh6OabLUUtRJIkcOcKx00UlTZddHuPHMS2CzfADXBgm4ZGK+tozkTc+U3NvemSfYlFFkNXmt wK4loKywAYEqhpE4SKQNQi3mDk8rI9ztkOlzUtEOB+cR4EV4qaEfh9OjMS1Rd41P5W6q1yragSpT T+3p0A6WvyD7P4bmK4ijmgmV4XUFWUggg+YIqCPs6j0oysyOpDjBBwQfmOIPWBqhgJyARpsXQgAg twSlrLpZT9fx9fblfPrWPI4689RIFIIRBFKI5PXqYL6AskbBQRpVvyPpf3up62KcesflbyWSQgNK gt9WkRoSwkJPqsrC30t7r1ocOvUop5ailFbUSwUD1ND99VFPLJT0vnjjyNRFCWjMr09OxfRqAYqB fn3V9fhyNGtZApIHkTTAPpXhXqy6SyhsLXPRt0fo+ipYcJP2d8kOydkbcxVSmAodkbModo0VDh81 mZ8vvbB5Rszk6+jlxOXmWgqpag6YnlbWYmKRlQWRv7O1xHte1219IwLGWRpSWRQsTAIFOpQWAFa0 qK56Ox+7lVYnvLqW2VTQIgWgJqwySNJNK+VadO+RxuxFpKqlwfxJ7R3JnXTLtRbu7n7LyVdPTzmS txrSVmGxeK29hpP4RkqaS8FVICJIAXYgAlmKTcS2qfnO1jgFKx2sCgMKBqqzMxyODAcCadXkW1Cl U2SZpjWjSyE0PClFAH5HzHTuuW7Tpsdlqnb3V/xi6qqMdjqvNZJDtjbtVuWmpYaORGqpJNxzbjbG 1SwVxEM1PHCkUqlz4mUsWWt9oZohc7rud2GdVHe4jJJ4UTTUHzqTUevWxLeAP4VpZwkAk9qlqDzq dWemObubeOUw+D/jny+3hi8C+Hx0abQ2tRS4rcWOeHEU8MVPU0OBlpKCVKVoooGLVMlQ6Q6mK2LR P/uSxguJ/peTIDcaz3yHUpGqtavqPz4Cnl1T6+4kiTxd6dYtPwrVSKeXbQfzyONOg4rqbqSeOXM7 kyHd2+6aXKY+hyG4szGKGmNdO9XLTR/cZWepqaySrxNE88SB3laCLR+3pu5rGd2Q/S2sdhbuFJEa 5NK1NAAKAMaGuKmuekb/AEjfrSvPItRUt/nPyFfs9OmWs3l1ft7cGRl2P1Th81t2ZqIY6l7Bmq6+ pgqYpa2SsqoY6eqnlggrFniEcbzSGHw3cyFj7ULt+63FpEl7urx3YJqYQAtMUWlBwoanz+XVGuLS OVvp7MNCQKB6mnqePQRVD/c1lRWiGKjSapkqvtIATDTzSD1pCDwsWokqosoHCgAAA8RSiIrOSQAK niaeZ6QsaszAUB/l0id0bwwGzqKprcxXQ64oXrJIPuY6Z6eOLyS/cVdTIyU2OpQrEvJKygD+v09o 571Ul+lt4zLeGnYuaVrQufwLjicn8IPAm+1bJfbvIogj029cyHh9gHFj6Bfzp1VH378wM1umefb/ AFvMY6OMmJ9xwJKsFHGxYSLtqKoKPPWG5DZGZfSeYI+VdTvbOX2EiXu7uHnFdKAUVK8QBxqfxEks 2fhB09S7svLtjtMPbHqmIyx+JvtpWg/oj8yeiGLhqupkmmqHlnnqJXqKqaWaSSaoqJWLSzzSyM8k s8rm7uxZnbkk+xX4iKAowP5dCEu35Dp5pdtOeNH+P6bgcfU354/1vdGmHkOqEjzbq0v+UdtDKVfz b61pMakiiqx+cWuliUXSnhSknjdnb0hVnRSAeCfeOv3mvDm9ubYu36q7pBp/2yyhsf6Xz8uPSzbm U3LAcQp62kf5w+XpcPnfgrtiRjJkd3b537glj0gtNRx7IpK6q8pH6IzNSxn+hcgfj3g7Lst5dbdu O7QkfT2MMDy5yPqJfCjp/FU/F5Do0tZAZGX+JzT8h/PpV/yfNk1e3ouxpdJTH0W590xUp0hUEdRl ppdOkcL+9M54/r7a5eQndFJ4qCT/ALzn7M9U3E0tivmWp/OvXL+dL1DQdh/CDuXddNTq2c603bR7 6xdQqjyxSYOtoYcmkTKxYNPicjUofwdXI9yf7Kbs21e6GyyB6W97cTWrgeYlUstfskRD9uek9yuu yKH8CK3+Q9aZfXHcuc2Ll6avgqJopIQ0M0bSSLHV0kylJ6WYDSwWojJF1IZCdQII95x84cl7Pzts V9y/vMAeynUgGg1xPQ6ZYyQdLoTUHzypqCR0RxTPayrNC1HH8x5g+o627f5aHywzfbMWY2vubdUN ZRf3bwGQ2TTZCaOareCugb7vHwySajNHDAo1J6rsDa1vfMzc9ruuXd93fl2+mJubOaSInhUxuV1U 8gwAI+0dC3WLiJJ0GDk9WpKKKWavngpvHUR1QpshK1DPSSVc9JGrRlJ54Y3raaFKi0U0ZeG5YIxI b2nXTIGBXgaZHGnpUcOqCmD0UX5TfHfaHd+y6WTdGVxuB7GwbPS7M7FrqX7GBjW1btS7S3UtP9zK +0auSVYlqHLHHzt50IEksTyF7ee413yLefT3TtPsMzjxIx+An/RYhwDD8S1AfhhqdINw25b1dUYp Ooxwz8j1RbuXrzcWxty5faG7MNU4PceBrHocpi6oAyQSp6kkiljLQVVHUwlZIJ4maKaJldGKkH3m VZ7nablaW9/YXCy2cyhkdcgg/wCA+RByDg56CbQtGxSRSHHEdQ4sKbg6VB/2oE/T/ip/r7dM3z60 F+XTgmHvpsh/1/8AD+ljb20Zvn1YL8upseHPp9H+2Grm3+BJ/Puhm+fW6enRBf5ke7odl9DUW3fL pqd/bnpcfNTRu8MtThMREKrKRioS5hSSaohFyrXP4uPcZe5u4qNt2/bQ5DzTayPVYx5/7dlI+Y+X S2wT9SSQjAFPz6CTpjv/AGlh+l/k/u/EdeRYHbmV2j1RsbLRbsn3F2BuDZXX5hrqTdS7O3BJugVW NR8ilBj4KOHFRUSivWaQwJRGX3jzue2yXtzt031bqIGLFRTS1aDuzU09KEUJ6EtpfSWcdwIwAJF0 nJ4Hy6nfyzqPpEd3bG7Q3z2z11DV7L617I717EwlZHvSPJZnsCXdSSbb2ZlI49zVO3Iamk3ru3Fy 4uQYWkirMlQ0qA1Mrxlg/wC4Em/Ly9LbcvzCHdZZAkchjaUDzIKKVP6lNAYMujVqrQdPbILMX0Ul 6oa3VSSMceGQ1KgVrTiaY6LBmsFku6O4e0N1VOxtpVuG7S3/AIzonbFZ2PjKSvwux8pLkKWv3juL GdkVm6sbnNr7h2fW1mYo4ayfHV9LUpEwJ8lFFEghheTbdkiN3Jrnht11soJ1OqAMQMnLCtKk/M8e kRCXF2TEulHkwPQE/wCT+XTFuSrxWU7a3XQbexyYzaPU9PP1ZsvH4zdWW3RtiDCYiuSk+729U5ea pdkyNZi6qWoqI9L1k8zPMDLcm2zSTzWENzdH9aRanGnH+l8vz6tdLDHczRw/2amgzX/IOotLtXGf 3hbcyU802YltIGkmb7cVLQrT/cLCEBFQVA5JsrEkDn2aE0HTFM16Nx3p2LsXrT4Z4XamwvkV3Ztb tvd2SylX238dcphcjh+pt07WykkWG2VvzbzZra0FNV1S4ajBqc7icu4qAzUE8AADeymRPFu4tcAe FdTBj+F6UUAfMV+0dX1aUkOujGgp6jia/KvXXwky22dp/wAvjvDdabm2TuPfPbfb0r7x2FSbLr6j duy+vuv9v5g0O9mz7VSbZzGIqMxjo0mwldE1DkxXEMwmj9lEwvbnmRQ5kjtIYMGhKMxyfw6QeA+K vyHSlRAu3ElVad2NeFQBwpQ19cFafPqnTO7got478ON2/svH7SqcnM1PhcTT4yXaVHVU6VFZU0k9 dhpq/MU+OyVRQFVmWCVYD4v2lVv1CpGbSS3HovrU4HRjN8/HSskwexd29V4vI56LedPnUyWyaTcO F3tuHaFXtuVIKityGRwtBhZKfC5x9f2UOTx+OyayRhPHUpUUc9TtZKs4bA8utlTx6c/insXKR9rY ffVHujr3aW++qM9tLe+ydhdr4fd1Y/Y9bBRZDdpo6Dbu2cVkNzV1PRbcxH36iClleuM9LDAHaoQm kpAFFJqfMdeUAENQV+fWbt345fJKt7a7EyOS6xOc3E1Ti+wM9H1FSvUbP2tH2TJuLObaxWOSBV/u zTmmwletDjGPkpKKgcKpSPye6xMsaCM8AAPX1622oklj0M3yC+SGdHxl+JvS+3872RWbi63wVdR9 jwdj7Ey228Zt7e2F3tit5YnE7PqNxZSsg3JUyCnxcebNRQF6mPFUDFgs0yulgsbaK5uriK2RHkbU xX8RPmc+dPlnpxpXeONCxOkUz5fLo4fxY+Ym6Md8Lfm33b2ltfaGe3BurePWOwa3c23sjiMPvWKA 4mnGCosZtCq3HiRQ7Y/imEWnrzj0kATM1NctFMsNQCXXu1rcbtZXjSqUjRh4bLqrXuqD5Zx0qtr6 e3tLmGNmUSHNDQHFM/Z5dE/+Fld1/U7p+PW38n2dSZHc3aPyM2zS9udf0K5nZG5qza1NuSizlHjq 3sOr3HhNv5PBbirduIUo5KLNQ/e5ZkkFM37jr76OaWynhgWs5jYLU6c0pljw9B0kgYJPG7mgDqeF c19PMevR8f5h3yAz3y2+Zu7tmdebGg7jwuN6dyj4vG9s1+Jpd29d7Mpc3g8nmsvtesrc+2Pj3rlN obLppEEwrJZYc2nlgcKye021W8ltZAz+IZaCoZg5HkAGUAEf5OnrycTzsyxoAP4F0ivmQMcTnqft eq25lMP1S+I2PiNowZig/wBNdR1/WU22YKOqzW6I8XveCnx+wMxt2gwWLocrS7co6Yjbf8TWqapg hinikw9Q0i91bxFK6WjX4hUVH5efHpgU0NjuPD/D0GWL6m2bvjfO5u7MlX7nj3FL3YnWfVu5MLuq v2pjZNu9XYTD7Xiy2YyD4fMYLP4fdW86KDE19CaTI5CV6mnCU3ikqJlaiZo4kpGSHYk0pSnAccjA HXhpLMS1AAP29Vndq/Hre+0t4797Cq6fa26tkYSvp+2qZspuDaNNW78653R2Nl8dgqxtqbNyVV9p /Ho6NZMrQ0BiTCUuQpwxgaanjK2qIEQeYx02RUmvHj0L20e/9vdn95ZLtPMdW9r7F6w2L1xu1afb Px+qpsqvVWf3vTx4/dW+48pBQ7eXB4vcUj1NRUVHgM0dZokMNVHTtCdFdINfjrjr34lIwoHQX7O7 x2F/f3ePYW/satBvfdna9PLuf+DbbqKKipeoq842l3LtPAYvFT4zauENXhaasoq7GZTbmUo8hTVN PGtNA8TOviKBQDUZPXuC/PHSvrs7i9xdmdI7O6G3VtzaUOZw22t/b3pdjbUwMkD9p7NOZ3PHQx4z beP2xkd6NqxgGA25VZAGGpq4qeT7SdiUsTx1VOP+L68SRShoa9Cr/HKzrnCb+3xsbsruWfb1b1am 4t0dQS9h1uB2jmOtsv3Dkuucf17WZev8+98jtao3fHDXVW2qSnFYcFklikyr+WoqPdAAFI8EVJGe NfT/AGer1NCa4pX/AIrocML8kvkhtzYu2tsZnqvobeG25NybL3j2xsfufHbM2RnhvHb7UH8QxsG6 89n8PgqvZu+6PZMNU2NoaipyOFrYa+jqKKnaFhPZlUuxU0AAwBnAznzr1VGZUoc/7PSy6T+Vnxo2 /wBh7+7h35t/cOE25ha/Px7ml+KtJTzUfXVX3GtRU0p2nUb0npJ8x1Bis5i0o81WSwrTZzcjwzGI 01VTM+tLhdBGGOKHNag6fzBOetkhmJ0gAAf5fLpl6U6Z+K3aeS+Nm0Oie196Z3Bb33xsjOdzUHbm 3ZMTsTpjtnFb9w+7hQbKzLaDk4aLqbFbip9wPjvPG2HipJ62bzT0sMbkQnZzqC0Vfi4GpVsfZWn5 0HE9acoFfSM1OOOB/lp/Lo8e6fi1uXoPC0u5J+2Nj9pbS2dH2Nmaqux+Yx+Q3Tkez+2p6mRMnkI8 DvTce3qeIYzJbpqzjDAK/A1dVaSZo6xEU45Xs13nmbZdqKhlmuIVbz/TVvEevpVUOfn6dNXpMVvN TiK/zwPtxw9eiTyUjC5Y6mLXZiP1MSSzH/gxN/8AY+84/E1EnoL06iPSG36T/X9P4/p7tq6qR1// 0KYdj5MbZ+RXQWdrnEVDje29jTVkkxCwxU8m5MfTSzyMWsFginL/AOGn3n3z1aSbhyFzlZwA+LJt 04AHEkRsQPzpTpZt+kiQeZH+DPWzf2pQ/wCjOfrPt1YRHtnEZiXY29Z0BEOO2/uWvSCjylSyglIM VnBSSSt+lIDI30BPvle8mqSN1Pa/r/P9nR2B2g+vQs/J/wCJa/Mn48z4XZctLRdr7Or6DsLqfISy RwpHvna5lanwdZPY+Kh3DRzz42oa9kSpEvPjHtVbziCfUf7FgVPzB/zHPXpELpQfEM9F56Ex21vl r0huDqfsfEVWN3RjaTJbC7F2flI5MduLbubxrHHZKnqKeVRPRZbD5GDUjaf25USTlbErNn3bcOWt 6sd02yfw9ws5kkicjBIIPDNQVqrj+FiK+fWgqyodQ7Tg/b/xfWvF8tfh/wBrfD/sN9nb6xtTWbYy 8k9V172BBTkYbemGR30lJUBhpNyY9NK19BcSQSHWoaFkc9Mvbv3F2P3H2OPdNskEe4Iqi4tif1IH I8xxMbEExyDDAUwwIBTPEYno3p0Xba+5tybLy6ZvbdfUYyusEm0K0lJXQXu1LkqJ70uQo2t/m5VY A8rpIBA6mhinTRKtV/mPsPkemGEciaHFV/1cP9jqyfpL5tU4SkwW7XTBSApGsGSnnk21OxNr4zMu JKvb08hH+Yqi9OGN/M309gG/5SubKaS95fuPBkYlmjoWhkJ4l4gRRj/vyEq/mVboL7xyvZ7kuuRP 1gKB1oHH2j4XA8q59KdWMbd7J2tudVlgroaKoqYAYqWvkiiilR0tC9HXxMaCrhc/pKsNXspG8/Sk Rbzam1krTXXXCT8pQO3/AEsqoftPUZbhyrutlqeKPx7cVylSw/0yfFj1UEdLvSjRHl5OY5EIVX1s yhioIVg6/wCNyAPZ0rLIodGDIeBGQfsPA/l0GyCCQcH/AAf5f29ZXRi6SGIqziA/UAJoL6o9KkD1 AD6A+7daz0vNvbz/AIDiEoods4Kpq4NyUu4Y83OZ4sq60Zo2XEvPTLDU09JekdQ0E0TolRL/AGir KX3FgbidpTdusZjK6BTTkEVocE/aDw6fjuPDQRiJSwcNXzx5V9OlPuDu/f8Au2kGLytbg6DG1kdT QytQ4OECnpclUTSVjo0jVlRTFfvZmUwaWjjkaOILHpjCK25e2+zYSxiRpAa9zE1Ipg8ONB+dCeHT 0t/cTVVioBxgDhn5E+f+anQjZ7fPWmZzlDHmOxu792YGUZRsrPVV/wDDZ4Kx8hTQ4PIDGwSpSOlF h1kedYD5J5UQ6gzFVLbXbt0ihcxbdYRXC6dAHcKaTqBP2nHoPXpTLcWzyKGuZ3jNdXl54NPs6Q25 t8dQmWeLaXVEaikzUc+Oym5tw5XJVFdiKSdVSHM42eatjMeRo4tUkIn0RSOSh4K+zG12/dtCi83e lUoVjRQAxHFWp+EnGM9MSz2mo+BZ/iwWJNR8x8/t6x1feWcpaaopNvbU692bBWQ+OR9tbSo1yEcM bs/+T5GukqpoEZp5A/5dJNLlhb3Zdhtyyvc3lzMR5PI2n81FOtG+kClYoYowf4Vz+01P7OkluTs/ f29KY4vcu5MjmKFmp9NDMtJFQpLjYSKLxw09PAsf2kEgVCLC31v9fay12nbbGRpLW0RJSD3ZJzxq SanpmW7uZk0zTMV/l6cPXoLa3cWOoFDTVMclQgk10tKj1LwmMsHknERWOIEx8F3QD3qfdbWBvCVj JcU+CMamr6HyX/bMOlljsu57jRra1bwv427V+2p4/kD0U7tX5Ybd20tRjsFOuZzKI0T43AVcVQY6 hRymU3Cqy43HqD6WSn+4qFF/obe34LDdtz7pv8VtDQ0BJkP2twAPoiinlJ0PNq5KtISsu4SeNJ6Z CfsOW/Og+R6rh3/vrfPaVSz7hrBBihMZqfAY81MeMRwwZZK0yytUZmqQjiWpZ9BuY1j9ifb9vstq iEdpEB8zlj+fHPmck+ZPQ6jWGBVSNKAfsH2DgPsGOkXTbUJ0jx3BP4Avb6X/AD+fa1pgPPq5m6U1 HtBmsPCT9P7P1/P+H0PthrgDzz02ZCelhj9lEkXhP1/1N/r/AMb/AN79sPc/PqhdvXrZP/kD/Euo ynYu9/kPncbJFgduUP8Acva000LLFW5SaWGvzlTTlrLItKscEOofRy634I94o/eK32Pc7rlzkyF6 tGxup6fhBVo4QfmR4jU9NJ8x0Y2P6UU9yfiPav8Al6OP82cBlPlB/Mj6vwmFhNd158LepN07+35k YpCKGn3/ANnwz4raO2/IoMLZaTE4dqwxlrpTKWtyuqIIrmzsfavm66kZRd75uUFvbLSp+nsD4k8n yXW4jH9OhFcgGcClJYF4lULH5Fjgfb59WqfDHqf/AEM9I09Xm4YqXM7iNbufM6AVWNslPPkWjXXZ 9CtUELfkqF/1vYH2qIWVnfblKKawQv2f8X03eOZpobdTWnH7egA/mOV9HjfhD2XRZUpT1W+6Goo0 p5yA0tdvHMUlDR07I3qLCnqASADpCE/j2I/a6ymuue+RlUHxUuWun+Sxqzk/yA/21evXkgS3v3Bw FCD/AAdaVfZXx4eNJKikpmjI5WSK4+n1H4+oHvoZZbsMAn8ugosreZ6Uvxp7W3b1XuDA7VEtfisx gmq6zbO4aaYxoGoqqTJx0EqX1rIIp59NtSvGoX0+8S/vEe2EguN39zdsu4zYyNB9RCQ3iJKdMImQ jtKNSPWppQ1bNehNs+4hjHZup86HypQnP5/nnh1t4fDv5dbf+QWz4cRnK2lxnZ2KpYv4hRHTozUc Y0PWY9X1COV2Gpwqk/0II94uwzM4CNiQD9vR26AHUo7ejZZOngr4qikq4hWQ1kb01RT1MfnSphlR o5IJhJrMsTIxXSfwfaoqgBqOPVBX8PRRvlV1LsPd+1aKXNVWP23vvCYqaj2LVwoJMhkqShpmqINp ZGkjIefCSeO0LuTJQyNqjujOjyL7c89XnK27Wm1SOZNiu5kRkP8AoTuQolT0yRrHBlzxAJQbhYJd xNMijx1Fa+tPI/P06qWp8CCqsUBLKGB+oKsoP0Nj/vv9h7y5aUgkV6CdB06RYJbL+0P9ioP5H0F7 e2zL8+vY8j1PjwagEqmkjm9gLcf1v9B/T3Qyde6ot/mT4fsbuPvLAdYdbbVzu74OuMRt3F5SPEww LSUe7uwJquuxGOqa6snpKKLJZqJPDTxNIC70rgC4NoR573D6rmH6YsPCgjVB/pm7m/PIFPlWueja ySkGorkmv7OHRbPkp8QMn8YOreuqrfK9k0XaW9MPszce9MEuLlm6rwdJvDFZbdG3MXV7oqaeFZt+ 4+jjiino4fNSKwmMM8ml/YHRi0hqtCD5jpaRitekbsfZ3ZnSGyT39s3dW2KWgz/X+R+/os7taWvw lbRZuWLBUeIpKqvxOY2dubKzS5mpSGlyK08Qy2Nq4YYqiWhM0dJaSGhqPswf8Bp1QFlzTj0WbqDs ij653XspdyVm9ZOuMTuWDN57b+1s5DBWPHBR1NAtRicdmYanbX8RNPOUkkqaeQzQFo7pq1HUyFo2 Ay9MVz+3168poQamnRo+nKHHVm3Vrq16taDISVOVpZaPD4rGGDDmsSlxzVOLw9DSYynK4mEzN4Yl 81RIzEgsT7blaSKFBEF1nFD5t06lMV8+hmw+Ep5tw4fHLkqWmgq5KR67JVdRHDjcbHO4DVVTWx38 VDR60edwPQFcerTf3rXqilY4pih41+fWyMDpe/zat777ly2zeotwd29OfJLrrpbYWJw/UfYHTWBx mApa3r+ajp8TtvH5uTA7j3JhKqrxtI6lKijkpjkwnnqYhNZvaKy8N5LqbS4k1CPPwnSOKj0Pr16S ojRcaT3fOp9T/k6q67Pz+79j7C2d0TN/o1qdt7W3JuPfON3nsCanr9wZ7LbjxmMwm4Nu7g3SkkOS NBt2qxrQvipqaniFVqqF88MlPO6tI6ksW8+mcgBcdLnGbX6Jq8NsCrwPbmZod1Nt/b1FveozUSy7 dxW7NxZM4rNrjIQafcVJh9q4U1tQ8lIlR9wUpo/2Wldi6z6dVVP5dbwSM56tb6+/l5/LjOdMbZ7I +M2/fjXvTZ9SO6gX2gmXq6jfMGzKHGSZLtnKR9jLujJT56fbO0sXl8NNRUWNnxGRA+2jjry5kJJN /wBtW8k2+SQrcggUx6V4E1A9MZ6W/QXX05uFjrDQEn01Eqv5sVNKelT1U/3N1z3btvMw7p7e2xlM Wu8GqMXt7cdTjzDtjdUWwoMdtKoXZ+VKLjcvidu0+PpqIyU7ukLoEazqQDeJ1esaZJH+HpGwdRVl IHSu6mrO+cL2DhNkU27uxtiTb4k29DmY83T5isifbWfgoKTEbkrtv7i1UGQoXw8cS4mtmWJEjjQQ VMMV3Fv0uwArrYkAA5LAVoBUE/YKnr1HUDsP+x69Go7C+X3Y20s71flN1Y7YXcOG2z1b2z1jhtr7 62liRmcRS7v7Irj2DvreDUOR3ftPL9nbvymPqqeGoE2Ww8GMp4YYYBTLFD78qEKAMYA4fafPPn59 er5jzNei5Y7sv4ibpbtXOdldLb4wW7d2bgxWT2XF1zvtqPZ+2aabNZfK7oIwAx+MpKCqqsZ9pjKO ngp5cbEJZJo46MRLGdhWBqWFfs8vTr1RWvl0OfVu3OiurMZtf5T/ABz+WeI2v8i+q59vZvBdI9g7 VGQk3Dv1s5gdoU8GEr8zR4Gjqdt5urqMnkpRVQ1bY/ESUpqzGVqZIqTAsBGx7Gx/qPXq0NV8ukf3 b8PPkdht55fdONz+y+0cz2tuJ6uqzfTmakxtPuCq7r3Pm8XiVx8Bo9v4ZsX2VuWHI0uPoaCoqY6i KmkYxLSgv70jBQFAOoCgr59eIIz59DX058ou04N39ibZ3/1xtfau4+o+vd15Td+RopdxYauxVT1w 2Nodrbbqdrz7hye3Iq0dkz4ymhamENNQx1daaWBBVSE0mCFHkU5IoKeZOCD64r+zrYJYqvnXPyAz /sfn1k2T8udr9V9NbJxrYjfG0N4bT603RJt8ZjZlXicTvreO42rtyba3RHvDEZOKo3PjIt2ZSWqo ZK6KmoafFZCtgkWseSCT37wG1qY2GgACn2deUjTkca9F66xHT/ZPdG3etNkr2dsvpjP7KxE2+thz 7szWYquzN7bH2s+UbH09DiRBlcjTVmVgJpqKGWXI10NK0OPaConpVjfKhVc14cB6D06ofIDj69OW 5+1uoOn9wZ/rai6u23uLD1eQ2LuyfP7A3Xuza+Uw26tvR11RSUdNj99TdlY0ZTE43PV+KyEtA9Et RT1s8WtJl8w0hLqtcHHV2oKgcCKV6kbx64qvkpsrAb82Fld1yVmNftmppsXv/aGJpctvjc+a35nO yd4Gj3TtTdm5DUV0lbuxKGhlyOKxeLkq44qNatauZY2rq0aVOSFoPnTJ60QaajWhPQXL8adsvTCj ffW8aPdO3Nj7U3t2a9V01n91dabITeG1cZvLE4/I7u2Hmd07ip3o8VmIY6tqjAI0c0c5CaIS3txm CNVvh1U+0UqeqEMy0pRqV/zdG63Ts3497t2DkMN0aMhW1E+b3TuOvxXWffMUT5ebGbe3dketYV6n 3JX09fVim35FhaSnCbfp2o8agVKppo5qj341qaLQeXy6sBgDVn/VX+fRUqLvn5HdF77m3HvHYuPw +7a3JbtztbTdi9Q0WCXKbg3XsjJdf5bckdD/AAjAaM7R4/M1NTBkqHxViZOqmnadmmk1XCKUYEZI 61QVNR6fyPSd6U7s2z1TgcERtKukzGF3VmMluLPUdLiUXc+Dr9v0n929s5LdAOP3fg6eDN4XxzUV LXR43IY6tq3qYJ5I0ibbAnT6KMf5+vDFacT/AIOrKOg+ns38xd49q5H45wby7F2x150H1fsPdlLu ut3HBXGfsbe2K/0uR7cxGCokyGKye49obRq6KODbOKp4vu8j44/JBC2QktpaMSOwrHrU/kM/4QOt rkgGnDP2/wDFV6MlnNobh67p+0KLeG3N0bJq93dr1UuA2puLsPOdjx4fZmDxdPDiHxGdz1LSVyY3 L5eoy1S0Doj080zwuoZAscoezW2i55in3ELWO0tXINM652WNc+dEjkp8iTg9IN1dgiRjzoD+Qr/l 6DJ4qNySHTn/AB+v+H9f+I95OAt0SUI+zqDLRp9UdW/HH+8fnj6+7Bj1qny6/9GmTtHaFVJSLV0R eCspGSppKiPh6eqp3E0EqMQdLxyICD/UD30dt5I5FkilFYnUqwPmpFCPzB6bs5zG462q/hl2VsL5 f/HDD4recVJWY/fu059vb3xrj9/H7qpKZsRuejZOHgaLJhp4ybERTRyDhgffMD3D5UueTOcN82GR G8OGUvETTugkOqJx8iDpNB8QI8j0K4XDLWtR/LP+r9vSl6Y3Tvz4o9hxdFdqVVTXrRhJtgbxlK/b dibKhbxYnKUlXIPE26cPSBaaugveXRfkMD7BRcA94/TNPyPn9g6cALedD5dGZ7l+Lv8Aph3Vj/lD 8XctgtrfIWnpaVd3beqKmPDbP7+xNBDGlLR5qsC/b7b7KxdLF4KLKSr4qmLTS137SxVEDkiMyKpb gexvT5V9G6bBK5AoDxH+Xrhhct0h8rNkbi+N/wApes/scvDJHSbz6x7Ax823N0bbz0QYUubwFXeD JbczVMWMlDk6GUwTIdUUkkDsHNeW+aN95Q3iDdtjvJLPdYsV4rIpIqjIaq8bACqsOOQQc9WlhjnQ 0OpPlxHr+XVE3zP/AJF3efSH8X7E+N0OT+RXSo89e+NxFHHN3LsPHJGJ2i3FtGijVt542khBtk8M ssjquqekh+pzr9vff3l/mqOCw5gZNt5goAQxpbytwrHIfgJ/gkI9FZuiG4tpISSBWP1p1SdLtZkl mgmp3jnglkhqYJo5Ip6eohYpNT1EMypNDUU8ilXR1DKwIIBB9zwJiVVg1VPD5jyPSPxAOlptHcO9 9iun92M5W0NIpLPiZtNdhZbnlXxVas1JGWPOuIRSX+jX9pp4be6B8aIEnFfP9o4/nX7OqP4Unxrn 14H9oz0bnYny1zuHWCn3Fhq6nVQBJWbaqhUUrWsQ8mDzUkq8G5YJUgG/Atx7Ck/KVrGzy7XO1tIf 4DoH5oAYj+cZr516Kb3Z9uvw31VukjerCjD7GWjf4ejU7Z+V2wcwIVq9w4qjqSeYc7Q5Hbkyu6C4 epaGrxigNf1CTRz7RNZczWuKw3KDzK6Gp6Vj1D/qmOgtdcj2TljbTSx+gqHH+Q9DZiuyNvZqEyUF Vja2CXSNeIz2Cya6Tx5XVauF0XkD6XNr+2G3G/hxc7LJWv4HUj7e/wAM/wCX1HRJNyTfIaRX0Lem oOh/mCP59KJc/C8Kq1FldRfTKIqSKRjGfKY3TxVMqiMDTyP8fdTvkSmjWF0D8kB/mrkfzr0kbk/e Qe3wCPk/+cdcTm6eNrmkygKmBi7Qxolw15GYy1UI9S/Un34b7ASAtldV/wCadP5lgOvLyfvZwywg f6cf5AadMGQ7C2thi/8AEsrhMd+w6n+KbiwVF5SHdlZoxWzzFQp/oT7dTcLuUf4vtE7LX8Whf+Ol /wDD0oj5J3Jj+rcwL9mpv+fR/h6CzPfJPrjFIY49z0mSmjjeNYtv4vK56R0fQNPn8WNxqmPxj/dh BH9fb4h36cELbxQDyLEsf2HTT9h6N7bke2Qhrq8dx5hQF/YTqP8ALoCd0fKvJVgmp9r7ZrKgMbx1 u6a8QQK5XSWXA4ERRupUDiWqc/19upy+8oH7z3OSUeaqdK/7yoVf2g9CGz5f2izIaK0QyDzYam/a 2K/Yo6Lhujd/Y2/Fen3DuGskxsht/BMci4jCKoJKxvjccII6lUJ+s5lZvqST7O7Sz2+wRUtLZEpw oM/7H5U6OgQKaR0lqTZyrYLCFFgLAGy8/jj/AJF7VNcV4nqxYnJPSkpdn3KlYjzb8Hn/AHj8e2Wn PVdXz6VVDshm0gQf1JJXnnngcH2w0/GrdVMi+vS1x+xeVvEPxwFN/wDeAbW9sPcD16b8U8Ojf/Fb 4Z9hfKTsvGdedf4qQQB4Kvdu7KmmkOE2ZgTJpnyuWqbeNZpFBSkp7+Sqm9KggOQEebuctu5R2qXc 75tUhxFEPilk8lHotaa3Pagyc0BtEj3EgStF8z6D/V5dbqfVHUO3vj91BtjorpKiipKTbGJXGy5+ t0yU9FUTa5stuDM1KhVr81XVUsk/gUgl29WlB7wP3zdt136/3HcJ5xJu9y5eWXARAcBF/oogCKPI KPn0I4UjjEfi4hUdq+Z+f7eJ6xbI6M2Xh4a3G4HFoMHl89JuvsHdFZEpzXaO7mWnR6zIV5tNWUMS 0cUbSH9vwwxwQhYkt7LobWS7hs7aa4kbbLVNCs5FNGpnMcYHBDIzMx4liSSenpbkhneg8djw/hFK CvzHQs5msTcGSi2vQELi8f4avcNRDYRR00WlqbEKV4E1Wy+of2Y1N/qPaW/u4txmSwi7bCLLHyIH 4etQxmBGnkFZm+H8/Pqhv+bB3tR737B2P8fNuViVdNtWpg3tvxKdleKkqBBJTbUw0ujhZyk8tY6E 3CiInhh7n/2L5dkkfd+erxCImQ21oDSmkH9aRflhYwRg948j0W7tL4ccVkp/UJ1N+fAH/D8uqyBg qeujaGpiEsbAqVYXvzY/S9uD7n8zspBBz0S6McOgA7P6GWdBnNvq1JkKWTz00sQOqORSw1IbabEE gqeGHH59qZnsN72692PebcTbZcxmORDjUrfPiCDQqwyrAEZHW42kgkWWJqMpqOmjp3tLdWw9w0df RVtRg927drI5BJCzxeYRMCZYhrUS0k5U6lsSL2J/PvBX3D9uty5B3UW0snjbPOzNbTgU1IOCP6TI KBwDRvjACnA1sdwW+TWBSQAal9Pn9n+D+fV7eP8A5kVLlm2X15SR4rb+89y7PoszWbhq0SoFRUvN UUdXT0SvIkSVKyUwdwRpRZUsrAMfYBEkzolDQVoTx6WlUBJOTSoHSA3VXb0rt5VG7sjuKoyBStSf b38Rjpq2XE45YzEaaom8cdPk3rkJNQEihifUQqqLEPBRDNHPF8amtW9Rw/1cfTqpJkUxsMEHh0m6 nbVJVxPlcNGRSBrV+N1PUTYSoksQBO5M1VhalyTTVTepOYZv3AGky25J59tOa7TwZWWPeYl/Ujrh hw8RBx0k8QcqfUZ6CN9ZPZv6wngf9XD7OoseDvp9BFj+Bf8Aw/oBx7HJlp59IK/LqcuIp4I3mqXE FNFFJNUzMwVIqeKNpKiVmawVY4lLEngAc8e2nuFRWdmoigk/IDPXqEkCmetT3fncu2d89g929htu emn3Tujf+881TYcU+Rp8jg8Zt+mqsXsyux1VTVVNFnKSjhrZ6sxwrVtSCJl8UTOahccb26a+u7q+ cUEkhfP9I1FPypT0x0fRppRVB4CnQtZLtDBRdD4TcnZu4Iayjk62q8tVUWbzRzVVPFlaePDbP2zj aiqqWmNfR7ewkMIp4o0NPVSSOEibXbTkaRnu6expFeiRUPZFJvv4+br2rkNt1sm4tuDam4No70w9 HDQ/fY+q3jtvacHVmWmxGMxbdhT5XKbiq6ymSrSrqaLJY5zFOwDqqZpEj72YAeZPAUFSSfIAca9U qOB49NGG6xqNvUNftbs7rSIbyrKmLcEG2MtuaHbnbmA20u2dwIiydejPYrdtOctuGXFVLLWUck9J i6epqFgZJS4pDeW92gntLhJYjwZGDL9lRUdeZGRtMiFWoDQ4ND8j0a7Z+MkxOAoMbC8Bp2OJwgeW +mSDG05knYGCnm/bn8IFhZgDccEj2zcmstPNFY/mRQf6vLp5RgdHk+Cuzuxt+d+nc/Vuwutuzdy9 U4jPdunYnbGZq9ubC3Tiuv6QZKpw2XyVHjMxUI9XIlItOGpZYfMytMniDe25Wjt7dHc9irqYn0Hm fP8Az9aI10VSdZwAOJJ6rZ7Ohi+RHys2x1z1v1pj+n812p3dicfRbD2jRY3LYPZeYr82MfkTBS0V HjcVLTYXL1stXWtDDT0GmCV2jSJQpbjljsbBJLu+QotP1HZUBDcKliAOIAFc+VevODJKyrGdVeAq SKceHXtyHJ/7MX3HuHpzK7Y702L1fFn9k7U7B2pBX9N5req7zymf3K29Nl47c38R3VUb3q80MvV1 UKRz6sUtTHTRU9E8KxLYm1oSTgk0+Y9fsPl8umzSrEdMe4uyeh9wplNu9kfHHemAni3Jt+gHYG0c jgMR2Ptnb2zcfT7YnxeTih27TbB3esua/ir5R6XD46pq2joxFPGaVYfZfem/S2uztkkZvPCbwxI1 FL07dRrWlfT/AAdWjMGuMT6hDqFSOIH+Xq2DeU38sjaXQD9hfD75V9h/GPu/GV2D2VtzGbm7H3xv TYO48PuWKah3dj+yNnCk3rWw0ebwnl89bicP9tTyIongSBn0wjyTc+6d3zJd7f7i8uQPYIxeKdIY FVQAdJ8eKQMzg/piMwtVTUyDh0J9zGyxWkb7LfEYoyl2JLVrhCKUpXNQV8geiS/JrCdx7wqtudad w/NLF97bN6m6825ubr3P7cy29O39tYPO9pfw7Jbi2Lt2hpcZQ53G1u2JbtljFRzLRUNPRhImSSBV nu2FfEkjRhT9lPz4H1HAH16DD1/z/wCrz+XQn/Hn+Y78v/iPHX7wTe3WvyA61pslsTZ+arsfkKf+ 9G38HQQ7jm2jtLIUm5MHtfsTHYCrioctNTR5Cn8MFSZkWZdSIIp9yfaLbPcVLOaTc7iz3G2RhG6E ldR4EioIrmpUgkdHm0b6+1+KktqssMhzwDCopgkH/Vw6WPefyU+Dnf8A8i8t2n8tfit8nOstkZva +Xz225eqZsNsik3JmdwYelq8BSZDb2+8PPWvtqPJxtXUVbR5r7QzZGeP7T7LxFR1y3tO5bJsVjtd 9fJc3UUekuoZFP8ApA7yMqjyDO5400igBXdTRSzyvFEwjJxqNT+dKZ8q/wAuqfdt9R757Aj3lk+v Npbn3NhNiYar3TuetxGGqsn/AHb2vDUeClye4p8dTy01EZTKsd+FeYkIPoPYh1CNF8RgD8zx+zpM FJJ0jAFfsHRp+jPjFjd4dZY3fe/thdm0218l2LSUGa7JGD7Dwe0cJ1ni2xNRuLKbT3XSbD3D1plc y1LT5mmqxm66kjp6uKgjp9RkqLk1zzDsMG5DaJ98s03KlfBaVFlNaUpGxDZGQaZHTq2ly0RmFvJ4 ZOGoadFXx2/N59dbo/iPWO+d3YKm27n6+p2ZkcfmMhRSUtJT11eMTko6Zo6alSqqaOdpJD9rGGae S8SiRk9nKeanLD+Vf846Y4Eiueh72WmQyPRPbu+NwZozbu777n2V1IdxZ6vQSywwPN2V2Tns3lKh g1PSzZ/O7cnqah2CHxyMxtGbNyAViFMBif2D/P1rzYjjQfz6soOK7VmzeboUj6w7Z2ps3atJsNc7 hvu+vc1LBno5Omtgbk29/C37c2HicrV9W/G3JT46slgpcUcRlJ62tHnrVYpw/ZJM+AfPyqP9jp+h BAIFegDrtmdK5x+v5exPj7vbZNZuBpN67lrevMFTZqenpszFvvuKKro831vnchmMHiv7ubx2NiEx 1biIq7CYqKfIK0cpji938WRQSSGIA+WR6/I1HVKAiumg49Y4qGbc22Kij2X8mqfeVTNutNo0vW/b zbK7Xw1LWPB1HhqWSah7lxEO84cRursPf2VpaCrpqRZ6XFYCpqKuKNQXLgl0usZh4+Y4caf6vlnr xAGo6+H+avU7MbN+QewBl9rbY2J1VjKCiy9NQZvM7ArN9dc18Q3lXdlbWq6rZ+wOwd6w9fbd3/uv anV+458bkqfG6KehVKulaKV4Zl140JVZWqAeHr5mn8j1p42ytQf8/Sm3LvrExZDsuup8Pi+tcL3R ko9yw02cpe0+gtwpT1u2c1S4XL0OS3PiPkN0b/e7EdY7/ahatircL/kWQ8iJCrxslwhfsOdMn860 H5deBNAQPIf4K9KmsyHXXYec27uLdXUFNmttbW2VUbexdHQdeYfu/YmLqcj/AHGb+O0W6+ltz9yU OKwdJtfZTUNPRT7coxjv4vXZCFErSp90EJHilJDqZgany9QB1cMO3UlQAeg42j0Jh939e7f2Hvfs TbsOz5907470oKDC9jZ+TauMweTyj9T9ZbNx24d27dyWT2hQ02X25ummqhUYFMlWZSXF0MgikrUq IX5JWRdRyqjPrmpGPyP8umtJZqA8f9j/ACnoI6PtLorqSfIdSUdRvnb0uxu0tw5abKw1eD7w6k3f XQ46nxMcGfxWLquuIN14SgzmBoK3H1NVicpV0aR1MEBRah3W1XkUMBSq0zjqxUBjQ5rXo0uM+RGa 6JxGK7X+KXWHU9T0jRbXwMe6MTTV3auxt/7hye2FixO5951E0Cdb5PM0W35sjj6SbK49c02Lljir Khqd5qktoGpZJGyWOK+RIA60o0rwqaAV+fSi3V8gtw9v7d613LuODJ46rqdmw18ONyueXcdVR0GS yFa+KByqYnBQtBVY6mjq4oko6dIEqwlmKmRsnvZXYxZct3+5Mv6l3dEAn/fcIEaj5DX4lB+fn0S3 7mS4oThR/wAX0jk3SvAMn+88gA/6/uYfA6RaT5dTE3Mv4mJ/r6v9a39OePdTD8utaT6df//SI7nt oJX0U8WhWur/ANkH6r/rW/PvoNHKVYEdE0c1CDXrh8VfkBm/iB2pMuUnqv8ARXvPKUr7m065F2tl 4rU1LvCngUl5af7crBkY1F5KVRJy8CBov95fbZfcPY4ty2lAOa9vRjEKf7kR8WtyaV1V7ofLxO04 c0Ee33dGCtw/1f6v+L62w8NB1B80uqINh72rYQTSQZfaG9Nv1cX94NnZOWEHHbm21lY5NVXRSKyl rOUmjIVx+lxz2mtpIZZY3jIlRirKwIZWBoyspyCpqGBFQccej8NVcHB6JVUds97/AAC7DouvPkWs jbXy8kjdf964qnnn6/3/AIaJ1SnG41QFMFuSnj0Cp1aAGOptAKl6+FMod7erJxZD5AfweZ/wjret WOmTBHn5H/Z6s0xfb/x8+SuEwkHaeCw+Wr6GmRNt7zoa9sfunBrINavtbfuLMWUo6Rtev7Sd5aOQ /rif34T2t0qRy1Y0wGwVp6Hj+3HVDG8bF4zSvpw/Mf5ejAbJ2jvzYogm6w7OxnZG2kIkpMDvyqh2 zvHHxXuseP3RQRttvLsqWUeVMcW+pF/bqW8iYgudSn8L9pH+lfh9taE/Z1VpNQpNFTFKrmv2rx6C P5HfCj4m/LGKXI/IzoOv2N2JVQ+MdtbRo49l718hKiOat3ft2DIbP3skTWKDLR1osNIKi/uUOUvd vnbkxUt7e6aTblP9jNWSOn9Fh8P+1K18z5gulsLe4LGJwD8v8x6pE7x/kEdp4IVec+NHa+z+6cBd pqXam8DT9e9gxQ2ukMdf5K7ZGdlU+nWKnGlja0fJ05G8t/eO5X3IJDv9pLY3HDUtZYj+QAkX/eWp nJ4ksl2y6jPauofI9VJdr/EXv3oirlo+4umOxOvRG7IMhn9sVyYGo0lhrpNz0UdZtqsQhSQ0NW4Y C449zTtPNWw7/Es2ybzbXKEf6HIpP5rhh+Y6QMHQ0dSD0DEe1o3UNGqMpsQY7MpB/wBqFwbj2cmd gem9Xz6zrtFL38S3B4OgXv8A4Nbgi3vXjnGet6iOB6cotv1SH0VFWpPF0qZ0a/8AgVkU2FvdDIp4 qP2DrRenn1K/u5Uy3M0tVLxb92pqHJ+lh65G+nvXiAcAP2DrXiD149ZYtoLquKdLnknQuo/m5Nr3 PvRmPr1rxABSvTvBtE/QRc2I4X8fj8Dj3Qyn16r4q8a9PdNs1ja8YX/HT9fyefbZl+fVfG9B0/Uu zkX9Si/F7jmw5/PtszDy60Zm8ulDS7UgW11H+24v+B+Bf2003VDI3r0oafb1NEQWRdP9olQqhrfQ kiwv/r+2TKx4cetaq5J6EHZ3Xu4t6V8OK2XtTce8MnK4SPHbWwWT3DWSOxCqi0+JpKt+SfyAB+SB 7R3V7BZxmW7uY4owOLsFH7SR1tasaAVPVsfxm/lCd8dpV9Fme46KfovYCPFNVrmEoarsPLQajrpc PttZposFIy2BqMnoMd7imkt7ivmj3a2HZo2j2t1vL31BpEvoWbi32Rg1/iHSuKzll+LtX+fWyJ0t 0J1Z8fti0vXnU214sLgIGWSuenf/AHI7gyATTJlt0bjn01+ZyM/9piwVFskaIgCjGHfd+3DmW/l3 Ld53nuDgY0RIoNQqDiFHGgOTk5z0cRRCEaVoAPzP7OH59CxPTUkcC/xKSnWkhsy4+EClxiBTe8y8 SVgDWJDWjJ+q+yC4mtIVV7llYDggGmMf7XifnXp5BKx0oKE+Zyf9j8ugzyG/6rdFdNtzYninFK3g y24/G38EwcYuHRJF0xVeQWMeiBCSPq2kew9ebpcXreDEQIRjGBT5D/J0YRWyQASS5fyHmeiD/Nv5 4bB+Imzj15sWak3l3juallbA7ZFUKqeimrLxvvPfDwHyUeKilbVFGSstYwEUI0BnWQvbf223Lnm8 r3W/K0L/AOMT0y5FCYoiRRpW4MQaRA6mzpBT3l2tp+rJRrhh2r6fb6AdUA7Sxe4MvV5beG8spVZ7 eW7clU5zcOaryz1WQydfKZ55GZv0RoW0xovojjVUUBVA95imOy261tdr2y3WHbraNY40XgqKKAf5 STkmpOSegsWeV3lkNXY1J+Z6FzH4U2BK2vf8EEi/+8j2ieQ+vVvy6V8O34qqEwzRq8bgAgp9LXF7 /Uc+2DKVNQc9e8vn0Wbt7oGerP8AHdvqKXJU5MsE8Sk61A1NBJpFmjk4Fjxcf4e97labTzRtF1y/ v8HiWMo4jDxuPhkjbisinII45BBUkHcUjwSCWJqH09ft6IX3LT9h0tftStx2IzUGd25TVNO1TRRm nSFTNDUQ1EOScxxkw1MKuiglvqpFveMP+stznFvN9tNnYrc7ep1Jc61jjdPw11EFZfJ0GqjZHYQe hH+9bZoY3ZisnCgya/YfLqy/4sfMvae6urt1f6Z8lDgq3rPa1PX5rPVlHkDFSSV2YpNp4My46ipq rI1S1G6snSU0sMaJ45ZlJlhhfyRx1dbLc2N9d2V9EYWicoyvhkZTwzxzSnqCCDnpelzrRWjAZj6e fSe2V8nOzOxt27lwz9K5jaOE25Uyz5LKV0G46PN4ijoYFyuPq5ocfk5MJWbZz0tNGTXMJYpo5hEY 4QVBN+WbmDbt9sZ7RQ1xFNHrFTUBjpP7Vr9v5dM3ivJCyyEaCKjhTHn1ZLT0CyxxSqLJKvkQMLFV JNgQbOBb+ouDx7ypd9LMtcjoKDNR5Dos/wA1t+wdQ/FburevnjpK5dm123sRK7shbK7rIwUCxkOj eVKWtlkULYkp7IeY776XZdwcE6mTQKer9v8AKten7dNU0YJwM9adXx5i7Ah7UxW9+vtuVm681sIZ Dd2XxNJkKXHT1eClpp8RnqFKmqLktl6HLy0pWGKom0TsyxMFNoYmSMwsrMAtKf5vyHl8ujZa4I6B zIwVdRuqnysEFQ1RQV0K7bxmUVN0LjKGmq5JcfiIaPLQ19NV0cMjlVpTCYHuVMZBI97jQBQrcSB+ fVTnA6um/k0df5D5RfOTp3G9rNioOovjRDWdv0XXWJ21hdq7Kh3Bt/I32vPU7dxVFQ0s9dSZzL1V fH5ldopgTGsazSaor91t+j2DlqMLPoW8u1iZiaUiB1yUOPiVdP2E9H/L9m15eSFE1NHExUUqCxGl cfaf5dLT+ch2Dt/tH+YXisJiKHE1821MRLuLcecFLRS5GGsxkmQOOxor44P4hZ6/LxNIrS+H0A6N Q1AJfd+gu/6sbtey6ltrq/kkjQ8ADltNf4eBp5g9G/OfhLeWFugGqOAKSPlgV/Zjon1BNVVNDOnl C0+JikaJSqyh56yaysUmLAN51+qfp5Nvc6yAeKupaluP2dBEHAr0cHrLEde7Q+K3cPYvbnQXeO8s LuCqxu2uq++eqs5Pt3FdN9n4hRnZ13FlcXubbuboY89ia3HRGt8ORx8MZZJoG8igpL3WXVYxGZKg APwIr3Cnmafb69bTDVYkIBXHEeh/b0nv5LPdOyOn+0vlZ3DvnEHP12wussJSYzMy01PWY/bLb2y2 Wx9dSQVFcopMcuc3RNSRVU88kNIlPCXqJVjjN4S97uVeaeav6n7XsNgJ9v8AqpPGUmkasU0RSzfh McILuoYEGRUAFaHoR8t3ljZjdJbyTTL4Y0+pHEqp41bgaeRPRJdr42h7P3N35lOr+15+rF3X3ft+ o2P1VsjFPkcTunDQ7vjc7s/uvHVUM/8AdzZkGWir4YdCkUizoIZFiZFnK2jNtb2luZGfQiqS3EhV AqftpX1BJ6DTMZHdwKVNaDhn/Vw6i9R9J/JrftWF2jiNw9s47HTbrodp5TCbwxhwmOxWyNw1NVn4 83jK2uagpsNvRscZqKlyDpBkNEhZJQuoVlngtl8WSZUjJpk4r5cevLHK57EJoCceQHE9DhnOwcL1 TtbFbG+VPxKyGJ2PBTZra9ZRbfx9VsHeL1nZeffOZrem08rt7HZLr3O5HC7M2nBSUUldUw5GlVJZ aMyBqplp431UYktZY2GmgINQaZoaY48fPrzAodEyMCOINa16DPby7WrcHSDZO6Kqn2whym6tk4fM 1KZDK7KqdyZasraTASbgpafGPms3FQYfE01ZVTQLKa9miSOOKBSVyNPEiM8I1NxpXy8x8h/PrQyB Q46Mn8Wdi5jdvcdBtun2r2d3Bhsqams3r1v1fiNvbp7B3fgsFINwZGPbOH3fBUbczO5KB6Opq8ca uNoo6yNXQ673dkZmjZwox/qx1vhU+XWftas2bS/ISDbvx4338qsfgt2742zS7jw/eMeX2xviizWW 3FiKzee3+wet9z0VXgczuTDbcqcrUVwhgOLdqVGpmKO8aI7V5ZI5Xl06fE7SPNfyxx68aDSATWmf tr/m6AF+ku3t/VG6O3PiTkN1Q7T7Q3tnMXXbaoaTEdI732sa/sWlqNu7Xo9rwbwrVyGGx2XnUx1G DqTHjqfF1L1UdLBGdW5pYVo0rKAoJBY0Apkmo4U8+tIHJPh19KcK18h8z1Z1sr5bfzPf5f8AsWmg 7M+O/Z1Zjeu9u1uOpd55cwdg9Q1OShohSS5vdO6MK2UpsZjalnSqyUT19QKyoMxHg8hEMIcxezfI /N3Mq80Wu6z2u+h1kLxNG2l6Ur4U6utDXgVKmvDoSW+/bjY2DbbcWySWpUjuqK/YQQaj1BrXqov5 Hd3dJdt9dbJOB+Nm3OnPkLFvLeWe7k3lsCbJ4HrbcGJyDePbG3Npdc1+fz8e3xQENWV1Sr0/kq5W iji8KKVmqxtmsoYLYyVjSMKK5ZguAWIxWlcD1+XQdkcOS5UBjmnkP8vQn9O7My20N0dR7fxWycDv 2j6/67i+Xnbe2t7VqYbGrlt1bepNu7Qpa7KDD7gjgx+CxO89uSUOOqaOenqsvVzLUnxTlY3Xmp47 cESij/THif8AB02ADkZJ/wAnDoQavb2wMZtmeLK9Udr9UZ/em9KvbR3ltijqspDXbW+62J1M88Dd S5jG4yqlizG0ewMvk6aTBSwZiplipqLVGskntoKR4UZKsAtTU8fnT8+nDRQak6q/6j0IkG6aeXLb /wA1sr5A4HdWP2ttmn25HtLsGHA7nq8ls963M7rq6rL5qmPUO8oINu7I+N2yqKuqI1q8pRzZGnw6 MyFo3qBrjLyrpZmIIGRT1z8xTHXiKhlDDQB0mu3N7z9Xt1Nh/kt1FtbemNavdck+15psnX5jc67a 3V2bkkqtt7027Ryz5o78+QOHnzxoMnJi8jBhosfEsbM83u6AeJIscp1000PkVGmp/wAPWmaijUBn NftzTp/pNmYSn2turIYI999E5nc2dj2vnGGO7AxO38NtXLUnXXXGJye6MRSR772tt+nocFlt8ZzO 0VdWY+txFFLFQ0TMkjKNqjUt45QpWuR6eh9eFR+fXtS5ZcLT86/4OhQx1T2Pktxdg7h2l2l1v2rt jBbXotubhqslQQ4HPV2K3RkKrPR5vI7p6IqsmcNkIOrfivhnyeaqqJI8TiMlHQ1EUMs7lmwxMRZg wkkZSfWvxH7PTq4BqCpGkcf8A4egp0kV2vjZcv1Zje2/ixuFNzRTT7v7I3J0pLt7N70yGPqsbuvt /c2Vmk2jV7d7M29X1dT3RsykrqGaeOno8JRiKGSSomSONzWQ0oSXsVCoHowBAr82OetEVA7OJ/l0 H+4dudN5ObeXZ2yvk92fsvubA51+tNjbT7Ky2bWvyGzaCh672wcZlaftyhr8nlNqZLsvcO4HqMPV 1MyYzGYp2qoVkQM91dy0UTr3H4vTiNJH+11/sPp1UIjeIQTQcPUn0/l0K25P5fHdO5N8dqbI2Xsv 4qfK6i6g2RR9l7v37sTG1PTORqNmZDM7xwdBkqfcnVGYxGzsiaqLYeQyQkq4KjVTRrKhlWRNVluI jG8gLBVJBHHgTn9gr9nXmShUBhn8ugk7X7V+VW3fjT0v0Lm+qu0tg9I7tw0+D+O8u/cxs/cezcTi e5cW/wDHKvZOb291xtbcO6svubam56qGnbM19XJi6LJ1UggeqkSaDbrH9QXZizqOBwaVHADjmn2V 6oTpUvTsB4/kf8nQT7h3bQx53IUWLmX+FYeSHb2H0FfEuH21Sw4DEhBqKqr0GOjbjglifz7z/wCV dj/c3LOw7YR3xWqauOXYa3/42x+zh0HHLO7PTj1Aj3aDyJr8i9jzz9fobWv7PjbfLrVWHFep0W7R /wAduP8ABv8AePbZtj1ao9Ov/9MuJgJuLX/BAP8AX/D/AGPvPuvQep0F++djQZuklIiRyyt9Prcf kE+1ME5jYEHpVDNoIBPSx+Jvy23z8T9y0O2dyVmSrOrlqS2Mq4FlqMlsSaSUyyvRoup67bEzMWno 7EwNeSK92RoT93vZqDnNZ+ZuVI0i5sArJFhUu6eZqVVbigoHJCy4EhBAYCSy3AALHLWnW151N370 P8v+qm6z7Vott792XuugWamglqIJKad5I/FBndo5pQ0uJzVKWJjaNldTqQlk1IcHrm1ubK7ubDcL R4L+J9LxSBkdWAzUEAqw404UyCw6OCARqTK+v+foiXaP8rLuvomau3t8PO06vMbFMslcu0dxUzZP F0KSMZTR5vC000VVgyF9P32NaOlf9TxRk6SzJAk6kzKGb+Ne1h9tONPng+fXlkKGiNpB8jkH8+gQ xHzK+Rfx7njoe8OouxNqUFO2lt57GpK7sbYMqI1mqJ5MDTSZ7E05VST58eUQDmQ8n2jS2uFqLO6V s8G7W/LV2t+RFOn9a/6LGQPUAkdHv6c/mobU3PBCcHvnBZkEBZ4sblY4qrlbPFWUCyCeCVL2KSxI 1+CPdTe3FsaSxvGfWtOveDDNlSrDo6G2/nFsLLhTXY/AzyuOWakoYp2FgBqqKE0VQx5H1J9uDc0b EgRq+oFf2ih6r9LTgzAfIn/Bw6HfC/KHrLMUUlBV0yCgqoilXj2yEtZjquKQ2aKox+RFdTSRkDlW BUg2t7UwbikDiaDVHKD8SO6kfZRiB+XTb2jt8TV+0A9Ajv74xfy5O9JKqt3d0dsuhzGQBM+b2TSx 7BzCTHUXqWrNk1G3UnqJXfU7TwzaiORa49yFs3vFzvswSO25juZIQfhmCzCnp3io/I48ui2TZ43/ ANCUN6io6Jxvb+S98QNzSTVXVveXZnXc0i6oMduOiwfYGJikb6AaodvZl4b8DVWOwH1J9yjtn3lt yjXTu2ywzfOPVG1PMkAuK+naAfl0XybFLnQ/8+ipbp/ke9t0Ukr7C7x6U3lTAnwJm4927Jr3T8GS MYnctEkh/oJyPY5svvH8mTqpvLC9hankqyD7PiU1+VK9I22S+Hw0P506Dqf+S/8AMKI2pB0lkR+H pu0BECOLm1dt2kYf7EezqP3+9upKVvrtT84Dj7aMafn0ydov1rWIftr1kpf5MHzPmYaqXpimBv6p u0aZwB/r0uDqP+N+3W99/bvyv7k/ZC3+UgdV/dV75xY6WmG/kkfLGuqVp6/eHQuH9KPKP767iyk0 cTGxc0uP2YxK/W3qAJ+h9l833geQox+n9dI3oIQP8L9WG03h4oAPXy6Grbv8hztieT/fzfIPrnGR emwwOz91ZuUMQQVvkavb8XFvr+f8PZRcfeI5eCk2fL95If6TRxj9vd1cbTPWjMo+zPQ9ba/kQ9b0 Xhk3l8kN65PTzUQ7d2dtfbcTfQaI5stk90TJb+pHP9PYduvvFXJDfRcswqKY8SYsa/MKqin2H8un l2g4rKa/IHofcF/J8+Cm0Ugk3RmOwd0OrAyPuLstcTTzn6lFpdsY7AMFIH6RIW/ofYXvfvAczuvZ Nt9uP6MZcj/eyc/l0+uzA8EkJ/Z0aDYnwa+D+zWgn2f8cNmZqaGxjye48HW7rhLD0h2yG/Kyvo3Y Wv6A39QOfYXvPdfnPcVbXv8AdmJvNAkCfYG7P8vTo26JKakQH7an9gr0b/bmExG26FMftjbe39p4 tQBFj9uYyhx9GoUWH7VDTUGOTSOPSj8fn2F5923G/bxrm4kkenxM7OfzZjo/OnTohiTGnP7P5ceu eX3Rt7BxPPmcvR0yRgs/lnjldbAkgkkQobD+g9l0l/bwEmRwD6CjsPz4D8h0+lvNJQIh/wAHQFZv 5JYmrmlxnX2HyG8skjGITY6Iy46CT+tRl5mixtMikc/uMR+F9lc++SPUW0JHzbJ/ngD06Vpt4Whm b8uHQK9jdh43bOAqt9fJPtTbfW+x6GMzVOHXPQYekdBdvtsjnaqWlqK55ALeClRWkPpUt7R2lhum /wB7DZWFtPdbg5AWKFDI5r/RWpAHm2APMjpWWit0JXSqj8TcOqaPkj/OZrNw0c3U3wd2p/DMMqvj X7ZzuFkoaGnR2aOWp2Vtaqijq8jVsBqjrcisUeo6xDLa5yb5H+7xclYNx9wZhBaLRhZRMDI/ynlU 6Yx5GOMuxyC6ngQXW8xoWFr3y/xngPsH+Dog/W/WOcymZyG+N+ZbKbn3fuCqbJ5zcW4KubJ5fKV0 41ST11bUFpJHFwEX9EagKgVRYZCTT2W32cG17Tax2+3wqFjjjUKiKPIKP5+ZOTU9B8l5nMkrFmPm eJ6NpjcGkaIoQgCwBt9RwDp/HsieUkk1z058ulvRYq4AKAC4/pc/T6k/4e0rvTz60OPS1x+GuACg 5IuBbkDj1H+lvaWSWma9eHEcelbFt2nqYjFPCrxsNJuALXFuCB9OOP8AH2kM7A1Bz1anz6SW4+jN rbipmR6CITMjAFooyp1AqQVZLFWHtTBu88DV1469pBweHRbNofH2Lprtyp7Fx+xMbv3AZ3bmZ2hv nrzKVAo8FvPb+Vkpp4lqX+0rUhyWIr6KCpgfxuGaFVYA6GUK878qbZzssN+hii3tAFZnHZMlBRZa VJKUBR6EgihqvBXaXTWlVJbQfTiD6/5Ps6MVicX2TvfJvUbnaPF7ch8VBitqYmGOko6bB0FZFV4v G5arjjSv3BLTimhMrVDmGSWO6xIp0Ar2jkXYdiMN1dXD3m5pkE9sSH+hGONP4nLHzAHV5b2STUsY CJnhxIPr/qHRiI6E01NGkg0si2bhf8ODcA+xEZNTGh6TceqNv52HZFLSdcdQdMtnsft2DsDek+4d xZfIw5KrocLgMBEuNhy2RpMNRZHLPj6WbJ1U0gp6eeZkgcJGzAD2B+drukdjYK2W1SMPMgdq/lUk /l0stF+NyM0oP8PRS+mfiX1p1F/Lm77+RW9t77pot7dj7HimwOTwFJFjsGu1cPuxa7ae3dv0m78T jtwVUnZeZxFKuSrJKOCT+HTGmgsplkkiie6LXkSK6+GMFSCNVfNWFVNPMHT6Dotk3W8Td0tI4P8A FahSRmtRk1HCh+WQOPQCfFjpDE7ayuW7f3LtDcEVX8dupaPtFsvtmHtSgjqu087AafryHejbu2JH gcDSYvcDsx/hv32Ky0lG0Opoi5ZjeN4aw+kjijVpp5VRQTSnrT504fPoVWVmLj6iRn0xxoSTQn7P L9tAei99VfKbuTqv5I/IDeHWXYeal7E3zj96bcpK/NVuCoKnsPN0++MVmMjjM5W01HS4oZbLxY3I yUbUgo0q64QwwhBMkHtDzXyfsfOW2Q7Zvlt4lvHIHQgkFWAYahTjWprXp7bd1u9punurZu9l0keR Fa06wY6Dc+e7O7D7N3pjN54/ObuTEQIexMfPjd01dmnq8tVVVLIsESU0lcIoYDTpHCYqdONepicb TtVns1hbbbYxKlpCtFUDA9T9p8+mLi5mvbiW6nYmVzmvoOA/Ly6FKikSSj+whQfd1FfF+64JV4lB jgAdnsjCaWx9P0F729rShMms/Aq/5emvkejS/IPfezto/Ezr3rHqz5L9sLuzd0+QqvkV8W9y4msw fXOBrKmprKrae/cDUZjbGNkgo8xsqnoFqa3H5GroMsihJVBpmVUUYMl1DI9sdKKWDEggPwoAOPbU 56tUhXOsBiaEedOP+HqpvaHauL231L3NsCo2JHlN0931u2amPcMGarMfiMBDhdywZ+BP7nUkX2Ob yVLPHLDii7xx0ZrppPHLKtO0StozVaHt6Z1AAinHoWIqfqDa/VFZsbtLp3PbR7m2hR7zH8Z3ThN0 YvIb33plBF/d/AY/K4c0tHtePZFDmKereLLQZGkqoKWKNI6eStlqG03iFhpag60KCoIz0bP4r9N9 M577vM9R/NzcPTO86Pb+ydqJDuzH4XFQ1O9M/LVZHflCkOWpoKGLYtJUS4+Wkkp6lajzLOKmRnaN AQb9d21vDELzaJLi11VYqtQtBg44cfMjh0YbfDI8pMF4sUg9fsJp+0DyPUj5Qbm+bGKzMuP3Z2ds LufbGV8vS+5e5Jtt7fGNP95chmdgQ4x8xnJsxlsbTYqiqK6apmwkpgFNUTGpWPWPJ7ZrbbBt8Lbf FJHbuxkCsc1buqRnB8vTrV3LdtcSNOwaQYJp+R/P16AqqztTV5LLZA0mJ11lfQhkxMdRT4emosNA lBQUOJhktVxY+Klpo1i8reXxopcFy3sU+ENMdGNKf4eknVjPwCy/Xe1cvuveu8PmrlPgt2PT4Gox /SHZOPw2DyVHujeSyM9VtLLS72xNXsdMdmcXFVQzU9fWY3yCRTHUR6SwS3n6URK1LgEila1/Lrx7 tK0wT0C2D7Eqd7fI7I9k90blh7C/gk+9stXb+25trG02N3VuredXJ1xszL0m0WmmoqDa89BmtxZa pgkFR91T4+WTXMnq9poUEVvGsaFSVDaTx1MSTX7OtSNqlGs1A8x6DFB8+pR2Zsn5G/JnYctf8rp+ vO0NtULy70xWP2Lls7t/aUmycENz0WfxabjqGoM1UVvYG86iBKPKLRDH48eOn8UlOY40F/drZ21w 8tm80FKGgrTVUGo/h41xXp+FFleNRMEcZz8gWH+24dWU5PZ38zzrzYeFwPW+9usvmzsfsnedLm6D a2z9u1u7pKzb2OzOby+5xvbrjcC7z2TPtHN4+hzFO8VTPTCnqKtpqASyiNEKOX4tkuTNuO1W0kMh wwqCaoaaiuaE+hpjIHSu+a7jK211Ir+HXIFMMeFf9Weqt999gYj5EbzOO7t+E42PujuPdG6Mxt7c 3W+36jYu3sXvjvM1GA65y1eRQxywbJ2rkcmtScVLWRtVyUEFRJ5Gimp6gXqlAKuSaH/Of2DoqYjS aDz/ANQr0kcDntn5PdXyR77yuL7apMdQb4pdtdVb569pt+UOKxG1uu8Lm/Ltsbo2RlaIYXctZGNl U9KcrTVWJpqaaSaQQyLFqRNUxRildTam+zy/ydOAAMcn5dDTsSTNHP7GwPUfyKwG+KjYuyqnI0GK 3jiNp7vy0syYXEbJjwiUWKbYnYeJcb++S+86ihxtZJUV2KGMqcuBMYo5ht2UPIzKTwX8mIB4+nl1 ajHCt/qoT/sdM9RtXee7MbsXbm5uhdvdl4zf+8oNz0VV1/m6PI7jzHX2SzGEpaatk/0kYqj3Bjqe g6d+NuffGZNs6kcNHkqivyUoYFPd1ADqNeEya+hGqv5V681dPw1zj7eH+HoJezsP1H1h2f1L2Juf Lb16y/utv2olxPW9Zs3Kbq23RYzbdbhN55bN42duyc7NtfC1VJvDGUGGmw1Pk6KsqcZNkAgDJGXo DLQkqCeqPk9pNB0IHY+d6y3DtrIdmbJ7L+PG8KKDMdX7Uh3xt/c3a3xs7P2cKKo3JuaXauYXM4Sk 29if9K23dtVUBm/i60mP+0qBBMZpmI0kbIwqalqnPW2II8uFP51r/k6FSHqzMY/b8E3buw+9M9j6 bFbclxW4+9tr9f5/YvYGJz1LmsluGb/TvsfGZbJ7N2jgsImLoIat9549lp6qoy0rg0yUMnnYjSAi 6jxyBThw/KvXl091SQaftPQIfG7s+trarvHdtT8vshiqXYFTk9odR4PsWHa296rf3UE2Tye989kc hgd+S418ljzTdWbV8kFFUx5KtrWgpY4ZI18aNypH4QDxalcdw+wVrUfPrcZNak5Xo+2J2l8l8e3x k643d0r0j8psDhcPkfkFn9m7XzlRgcrurbOEpK3s7eNB2DlMvS5fZdacd2V8gqNq+eKVmnrKFaHx woJWPiypNeSrIQqKEz5fEor9gP8ALpzuKgEVqa/M/LoC8nT/ABzw3WPfO6avpj5MfGvs/fXYM+0O oNxbBi3FjOmqXadLLtTqTcmC3BmetMrPtPcdZT7mot119bF9pLT1TOtLA02u3vWaW0epaA1b0I9f 2dVx30w3l9vUL5ib8wc/Z/QnXnV3zO3H8xugvjn1FuXtjr7I7hoaKCHqzNxUI2Vtjr2KU4TA1k0u PrMFhVSKrpg9NBJCiKgJRRPyRtR3/nHY9vkVmWW6iRjxBjUmWY0P4dEdCR69J7pglqcDNQf8FTT7 T1Vl4qmJUVXf0qFPLXNlA+jE8++iJNST6nomxWtM9YjVVsX6STybHm/+t9efp71QdaoD15cpWqVB v/yCTcHkj/X/AN79+0g+fXqeo6//1AD8Qt/T/YfX3nuD0H8164tArAggEEG4P1tb/efftXn17PSE 3RsahzUEg8KamBNtP+HFjzbk+1EVwyHB6ejlKH5dIjrnfnbPxozzZLYdbJVbdnqhV5faFfNMuIrp DIpkqqKSPVLhspoXiaABXJ/djkAA9gnn3215Y9x7YvfqbXf1TTHdxAax6LKp7Zowfwv3L+B1zU8t NyeKg1VT049bFHwq/mxYHdAx2HyeVrKDOUcEa1e38tPBS7ox4QeOdoHLvS7gxym5EkRddP6wjXQY Sc7+3PNft3cgbxaa9sJpHdQhmgcYoC1KxuR+CXSxNaFxno/SaG6QhCNXoercMbl+oO9KX+IYfJ4T CZ2qAkavo8bS1WGrp5Obbn2ZJJTtTn1DXV42WFySWZG/IKdoJcO/hyjzAxn1H+Vet6ZYvhGpfQnI +w/5/wBvRN+/Phj0Y61Od7q+OW36nFuzkdsdbUaZPC6Way1NZmMPBR7g264J5FcIVT+yx+vtDNHJ Cupkfwf4kbUh+0GhH5jp1HjkbSGpJ6Ng/wDFdFSp/gz8eckqT9U/ILsLZccw10tHLuEbixUatYoI 6fPpkGEQ+npnHtAwtZqlSlT50o3+9cQelASVD5jp3p/hH3bjnR9n/InaG44VN4Y8xj58ZUOAAUDy 4uvq4Wdha/7QH+HthrVARR/5k/4era2BzU/b064347fNjbp8cNZtrdiiaV1qMdu6kjJjdy0cUcOT jxbDQvHJJPtl7SWvbM37erCRfPh0r6PB/Njb/wDwJ6yz9UkdiHpazE1yNb6lXoMpV3BAv/rfj201 teKCQ5p8/wDY6uGjrWvS8xe8/l9SeMydN7wkAsWCLUpY88m9OV5/1/dQm4DgR/P/ADdeJhP29L+h 7V+XNOqCP4+78r3AvanrcPCSebgff1dKP9e5A97H1/8AAP8AV+XVSIfXpQ0fbnzSndhS/FbsZ7WA NZu/rLFRar2uZa3eMA0j8kj28ke4NxVR+fVGMA9f5dCPhsz8886ylepNg7LhmRQ1XvDujDTzQ2N7 S0Oz8duWWUi44R2Av7eEV9w8ZFP+mP8AkHVNUH8JP5dCTjdg/K/LmP8AvP3Z1NtFZUYTRbX23u3e NTDISAqrWZvJbQpJrD8tEOfp7dS0uX/tL4L9gJ/w06qZEHCDHQm4vq2ioi0m+e69+buMYTXTwVuE 2Vi3YCz/ALGApJ8z4i3qH+Xlha1/rd9bK2ShluXcehoB/LP8+m2lmagWNV+zpXpvbo/r6Mz074al niDaqy61+SY+slmzGZlrMjquxuTJ/hx7UC5sLdgIYwp9QKk/memzFPJ8b1Hz4fsHQaZ/5s7FppJK TamPq9yV6ghPs4Zsk/l+i6qj/gNH/rlrC3tt9zqToiU/M5P2+nVhZVPe5p8sdAnuz5Qdh5Smmr8v ntudX7eTmbIZ/LUQmhhH1kKSVFHjaY2NvVI/J559sLdXd5KsCu0s54Kg1Ma4FFWpPpgHp8W8MQJC do8/L8yeq9uz/wCZX8Ket6ioO7O2sv3vuqnclcBsk1W86b7lbssI/hQg2hSAuum9RU8fQkfQyby9 7Le5XMpDWnKstvaNxlu/8WT7Qr0kP+1jY/LpNPuNnBUNOv2Lk/Z/qPRGOzf50Pfe+aaXbvxx6lwn UWGcGCl3Ju0w7l3FFE40rJSbfxi0238dKFvYSTVir+VP4nvlv7se02giuedeY3upcFobQGKMkDg0 zgykD+gsVeiS536tRaw0r5n/ADcK/PokNRszun5E7ni3j3bvvdvYub8nlgrN05GaqoMdr5aLD4eM wYjFRD6BaaniFvr7nPatv5X5NsmsOWdnt7O3PxeGtHf5ySGsjn5sx6I57q4uXLSyFj8/8nkOjqdb dI4ba8ELmlRplCXkKjUTcXC/QAC3sqvt2luCQrY6bVM56MrjcPHGiIkQVUX0KAQBY/m3+39kTyHJ J6cpTh0uKDFD03S54IFj/j9f9b8e0rSdaz0tsfitJUKnBNr/AIJNvrxx7SPLXierU4ny6QvbHfXT XQWMFf2bvLH4WqljL0G3qUHK7sythqC4/btEXr2RybeaVYadfq0gHI1Da3N0R4Kdn8Rwo/P/ACCp +XT8EE85pFGSeigbK/mudFZfOz43c+wuxtmYM1BTH7ldcPuSNqcE6anL4fD1K5HHFuGKQfekD8k+ zKTlq8KBobmNnpwoVr9hNa/np6MG2e5Vaoyu3pWhH7erI+p+5em+5qRanq/sbam8X0B5cbj8pFDn 6e4DFavblf8Aa5ymZb86qew/r7Dl5aXtiSLq3ZB607fyYY/n0hlgnhNJYyv8/wCYx0NS4eFmIkgU kEg6l5ueeVNv+R+y8ynyPTVSOHDpzgxccQ0xosf5GlBzxa/559tmSvHrRJ6asnjiY3IABANjcm/F wP63sOPbiSCvWxXrTh/m+9u5jP8AzNy+N27mMhiH6e25h9qY3K4qumo6uhyZp5K7NVFJU00kUtM/ 32TmjbS37iAhhZiPcW8yXS3m83dCDHHRB/tQK/8AGmNP556NIF0Qx54mv+r/AFHoSvm98y8ru74W /HzoHI9T1ewt25/H7J7A3XuaiqJhQ5+gwuGOPosHk641ITJbkpMngsbmqpngaopxXqs8izHSI82z Z7mDcrm4a4EkRZyCVFanSBU+igEevSWG3vFnkN0qeDkpStSTipqSKAVB06a/Z0Vzo75O74l697pw FX2xvTO9z9m5nqjCbR2LlcNBkdj9kU+BmyVJDFvbNUub2waGswVdVUdZFUVJmQLRJ5BLCahCa3W1 W11ebfcS2okmhLFWr8JNB/P/AA9G0c8kUU0ay0DACnr0mu2v5f3Z/wAX8Pi8v8nsPSYMb+2piMns yjxeUzGYzFJV52E10s+4MNhsHU5Q5ykxEU9XQ0ssceLyj0dYr1kfijaQzeJo40cU0/4Pl0xUVODT y6bHxmE6329XUmysrJu7BUuXrDhtyVlPJRtnMZka52xe4aujAikpfu8fHC4i1DRqRNRADM0M/Lp0 UAx0MPTlHTb2zO0qfNZbD7QoszmKLHV+4M3UpR4LCQVuTp8R/eDJVdTKiUuIxpqRVTO7BI44mNwA T7bm+Aj163XocP5nu+O6N+d04PoHKby6p+S+4Nnbd2l0/wBQbv6E24cBR7x2plmpdvbApZaWi3Hu CiOeahgiS1PWfwySG1SUj+4l0kxv7HbbXdd3vZzDaxqzSPISESOFTVxXGnTU/wAR9Knp7wpZGgt4 4wZWNFA89R4Z/wCKHRQvmr0jsv4d9udX9Q7cod643vvqPam0sv3/AJDc9dhs5sWu7YrmxW+8K/WV KtJDUzbUwGKyNPj6n76MLXVNI8ioI3bV7Yd6sOZNstN62ydpdsuEqjFSjUzXUjAMhBFCrAEHy6bu IHtpWhkWkimhzUft4H8usksHys7s6ph3XtvrPbdVsbGZLJY+vzOPkwuIhyeQyMEFDSZTG4Hc24Km DH1m1MTjzQUtVQQ00Txs2tKiqknqJTiirUdNkmgFOkhjM7hqeoyO2e+OkMTFlK3bWSo9mbhr8JVd ffxTdFdTRYvBSpLuw4fZ1T4ZZZa2FtdPjmq0VHdIGvHViADRB8j5dVJHBsHoacP1507vz5DVeQ6m xW/+7Oo9p1256rfnSBq8pX1G0NmdW7Qx3XmH3jv3J0HZ7SZKhze+chFWpDh8stJXU9W2NxNerSRQ e0N1M0VvOweOGegVHbChmNF8jknA+fr05EoLR8WBNSBk46re7c3XU7f7L3HN1xkd0bd2z/FZ48Jj MvJVU+RpoKaGFauOrx9ZkMxUY5TkjMY6SWsrKijiKwS1NRJG0zrofEWJBJIGemT6nz4Yr8xx8vTp pyATorpr5inVv3wu77+YWA+FfbeZkm6p3n8bN6ZzLbN3TsTe2EoMhlsrntq42gzeU3TPOu0s8cbB jtt5/wC1pIKrJYBs3NVNBQyTzpMvtDfMrzWVoZZEd21AqpIopFQx/DWuPz6fiVyryBVOn1NOP+Hh 039Rdmp1ni/vMpnejqzF9lb8fAdh4jvCljbKVvUnSuHx2PlzexKetjhhgzNbuvcG56KGngqmraia EQpTzK5dV7KdRKkdNnI6b+ofkH8Ae18/unMfKrpSs613VuCjwuPod1bIo81uqjOYqdxZnP7n7KyE KVNLkMVuuun3HKKlaOqo1qFo6cB1QSLITbo+928attlsszgMSK0JP4RnBFcnh8ulVqti8lLp9CUX OaZJqceY/wAHRuN49QfHWmqp8h8P/wCZTUbXqdhbExtT1Ts3P7136+4905buKuxOytw4TaGdevyN PsnHYfBZTNrlIf43V1CQIsj0dBDLPUPawl+otFlu7Pw7hviSlM+fCv7a9UkXRJSGQtH5Gvl+dD0h cvkPkn1Fidx5btjeuy+xNi7c673puPZe+sdW5isyFdX7M+z2P1qkGLhyEO0sK+J3v2fGMfOKWTJJ QUs0cFQ2NmhkmMJgBGVpRzSg+006YOStOFeP2Z6DXoPA5Wu626P2TsHufr7MS5LK1XYGU2VuTb2y Nyx7UzsjZvtfsLazU1FXYXtOnWuwfx82vTZSDVPRZebLQUNJPG0skTtOYg7yupGhSDXhQCuP9t1c AshWvxH/AA9Lre8u9a6g7DznYfx+2fv3OZzMybS2hkEzFDmMt/Htq0eYps/h4Nt9p4wb8EL9v/Ir Effy46sTIUNXjIsTEhiiEq+ChEt4xLUlyc+gx88Yx1YkM7MVxX/DnpX9U4/p3Cdp09DtzcXcnW+P 6r2Dlc7VbL3zkc5tbCZfF5X+7XVO6JtyS79rtzbLx9N/dOq3XksnLipaajrUkjoqR4mhmtartG7v CraieHoT/wBA0HWjoGgHHE/mMD+fSR3V2H2TF3H3B2Dtyv6i7j258fuk9m9W5ep3pIdlS5rbebzG Q7yn/uPhsNQmLCmGjwBw9W9YywinRccZWqauG/qhYirS6SxUEehUZH7etCuqoHaBX5EH1/1enVQe 1+2uwdjZ3cOe2fuKTBVm6XlfOwQ43C5DEZIS5Vs1TLV4DMY3JYGX+F5M/cULNTGShlANO0Rv7MFH aM1+3przOOjDbA3PkMT07u/tzAfKre+B79q+waiij6dpq7MVcu+kzNbgHbP5d6jJTU2er9w1WayF TJLPR1FNH/DWjqCZauH2y5YukfhBgePy+f8An6sPhYluHVzG4ek+08Z1xtDYdH0f1d29g+xd+bRk rcJ1zlsFiuyN2ivptojf2bztJ/dxNiJuncuJ6KzMcQo2oHxQzrVc6VjteNlqrPUAUSpKjgR5V+3q ytSNq+dOPEY6CaXL/GXaO8+++yBtP5MfDPNbP2piaPq7C9cQblo9vpvSGLcnYO7tp7h3htCpz2yK SGrGZ2hR0EM0cdM/jWsqkpi/jdoB/CjACsXJP5AYH5NgfLpxSFKkjFKHo0nR+B743Tlfhl0n8cfm f0D8naba9JkvkXQda9kY/bMOz9ib92njqCbJ7N3ZujHVm3twZupze/8AuLIzUlJUxmSprKWSrtN4 FdrhQZpG0gPGtFPkQ3b/ACBPVW7UFW7Sfz/lXqsP5B9g57tjuH5Sdq7s23sTam5tz9n4Pp5sJ1ZD ND15jIeqqVRvCHZ5ngpZpsRWZzBYyZncBned+SPrN/3fdsW85lu944xW1mXFR+O5IVKf82lkp5gH ov3MlNER+If6q9Fbnx976QQLm1gRYfj6A/j3mEH6Kq+Xn01S0DcjSf1fUkD/AHg2Nv8AYe7VHV60 6zQYV5pABGGBNiAPra35Fvr78XA88daLAcOv/9UGfDbj/Afg/wCxH+vf3nlq6IM9eMQ+hB+v9D/h cDn+vv1evZ49dCIWH9Af6cf8Tc8+/V69T9vTbXYakyCNHURKQdXJW/N+Px/h+f6e7rIV4HqwJXK8 egU3T1HFNKuQxjS0tZTTLUUtXRySUtZT1Efqjlp6mFknhmjPKsrKR+CParx454Zba5iSW2kWjI4D KwPEMrAqR8iD0siuSjVqQ3Qu9S/MrvfobI0sG4mrd74GlkiRatJ/stz0kMVrWqrpR5ZVt+mbRKfz KfcCc4/d15Z3sybhydd/uzcsnwWLPaMcmirl4Kn+DUg4iMdHtruxGkS9w9fPq+z4s/za9p7ughx9 PuyGDK+BFq8Jl3XG5tFtpaCuwuQ0rXxG2ksgkiPNmN/eLXNPI3OnIdxp5g2eWK3LELPH+rbuPKky jSCf4ZNB/o9GyvbXKjSQfkeNfkejg4ndfxf3BU1HdWX6f2RHkK3DbuyOYqcVg6mPCVe2duVGNo6r Kx7PSpG2K/sfdm5q+nw+JkjpknHmmlcvpHsCXFzGWLfTxlm+I0xpFDgcNZPaMUFT59PrE69okcU+ fn/hp5n16FrcHxX6R3vl3o+suyN7dE59C9EcdLnId7bbG5cVjKbMbm2/SYTcM01ZUNtWmylMuUng ysFLTTulPGPIWspW12m/nKxq8GOOosC/moXhQChLVAqaAdNeLeQq2oCQfsx61+fkKfb0uvjz8L+2 dpboymU7x7cwm+dr0PgO08Lsihz2AbOtJGTPW7tkyc8tTj4KVrLDSUU8onvrknCjxMttuXIo5Wea 6Z4/wgVAP21oafZ0nl3FmQLHEA/mT5fZ0Oe//jxvWpyaV/VfYFNtegdIUqdt7lo8tmKGOUSSGerx 2WgybV8KyIygU8kUiKU9Mig2GrrY2kYG0uPCXzBGoflmo+zr0V/pFJY9R9Rj9vSdyPR/dOKx8ctH 2lsitr2ZITT5nF5TB0M1TMQtNT0+QSpysgmnkbSqmBiTawv7Ry7JcxoNO5x6607l0g18hnienlv4 yc27ftr0Wzszc/ym6foqzMZ7qHce6cLQRyPVZTrmmn7FRYIQ7yTyYXbCzbtiHiiv/wAW82uPyfbd tsXMNzdLaWlvFLIxoCZIkUH5tI6Ktf6RHTwu7NgCZCp9CD/m6rW3l/Ou6P2NkqnCbw3Jlds56kaW GowWZ2NvjB5uOWHiWN8TnMHj6xJlb0kOgsfciWHsX7v7kiS2/Kim3bIb6m2Kn0OpZytPsJxwB639 XYfhmr+RPRes/wD8KCujaVXXEf6QszImoqlLtVaUuV5sJq2vpf1DgC5/F7exRB92L3dmI8a322EH /l7Bp9umNsfZX5daN3aAcHp9mP5noA87/wAKB6OuaaPA9ddkupBEb1JwcWo86daxZp3AP9CfZ1D9 1L3Fen1G/bPEPlJO5/Z4Cj9h6bbcLdfw1p9n+ToDc/8Azt+0dwiYY7rHdN2OmFZ91UOMhkBv6pZK fEZOoi9JP6WJH9fYitfuj7kdH7x5+tlXz8O2dj+ReVf54+XTDbvGo/sa/mP83QN5X+Z58kNwzmTB 9X7DxbMlo6zc9funetZG9+JVSavxVB9Tf/MW/wBb2KbH7qPJ8AH705v3G4NeEccEK09O4THP+m6T Pvb0IRFH7a/5v5dI/I/Lv5176j+2l7drtp0Uq+NqHYW38NtZAh4Kx1kFFU5eLjj01IJ/J9jrbvYj 2g2g6/6uNdv63M8so/3gMsfz+Dovl3m6bAkoPkAD+3pCf6Kex+ya4V+/t07131WTSF2l3RuHNbhG t7XEUGQq6mCFTb9KIqg/Qe5DsLfl/l6FYNj2e0s4lGBDEkZ/aqgn8yT0WS3kspq8jE/M9GU2F8Uz GsLT0kFFGui4dFUgf0CKotwfae834Z7iT0lq7eXRwtm9H7ZwYiLUyVE6adJdAQSObhQNIBI49hq6 3aaWtGIHVlT14dGLw23aSkSOOCnjjA0gaUAsefoFAt7I5JmYks1T058hw6X9FjAApAHFuSAbav8A WP1P19pXfrfn0tcfi1Njbgfg25J5Gr+l/wAD8+0kkn7evcekd2X3l1N0pRPPvnc1JDllpzPTbVxh TKbqq1JEcTRYaCQS0tPNIwQVFW9NSqTcyix90SGaYahiOvxH4fnn/MD0ohtZ7hgIYiR6+XVQ/wAg f5jfeG7p63bfUNHjepdriUU8udo8hjN19g1aXALfxikNTt7bqToSFFAtROLMPuQ30X29vZx8IzNM VJBbtQ0pwHE/7b/eej222eNAr3JLfKhA/OvVfkWLzO5M+tbuLO5PL5LM1avX5zLVElXX1k87gmau rshJUzzPIT9XY8m3u0XMUuoxrZoijFNRNKY4Up0dpb6ANACr6DrluTboxVZElOah6WqgWSlqZYXp 5JfG701QJKcojUxWogfSCA3jIP0I9iGy3KacUooPp03o04PXWGw2Tlgqcrg489FuTAzQ16ZPCypB FjcaHRPu2raeSDKUtaKo+lovTyL+zJZmcCOTwwDjI4/L0/b02VLYYgg46PH0r/Mj+VvT7UlDX7sp O2tsQGKE7f7Qjly1ZHDGVQxY/dtOaTdFGdA9JlmqY1/1B+nsmveV9rvtThUhlPnGaftX4f5dI5tr glzo0N6g/wCEdXEdHfzUPj52MKTGdmUOa6Q3FLpSSfPE5/Y8s5X/AHRujFwCrx8LE8ffUdOij6yW 59g/cOTN1tw0lmyXEX9E0f8A3k4P+1J+zosl2q4jFY6Ovy4/z6sepq3B7kw9Nn9tZfEbiwldGs9B mcHkaTL4uqRl1RyU9bQy1FNKLckBrj8/n2FD4sMhiljZZAcgggj8jnotZTGxVgQ3ocft61jvl7/J s7p3v2D2H2hsDd2yN6VG9Nx5jdE2Ky+Szu1NwxVOYrZq6opPv8iNzYGtTyTuABHSKAQq6FtZHccn cubi8k0G7XdrcuxZhIiTxlmNTRl0SKCeA7qD16VR3VFVHQ4FKinl8sdVH90/ET5W9ZejsjqTskUm MpftabOzYaq3nhqSmg1Bo6PcW0J8/ppjGi8zwwhlVbi6CxDce3O+Qhn2x7W8jrWkUlH+0xyBWr9n HpQJo2pR/wBp6KXhq/cOy904fcGF0QZ3B5KLIUUuPkhrqqkqqX1K70FpKqOSEai0c0N7izL9R7Cd 5t247aZF3Kxmg08S6Mo/3ojSf29Ogj4hkdGu+aPyx+SPy53UM/2b21s/duBwGfxqVOQ2Q9Nsjb8Z wi1tau4qfaGeq6DdorKyXP1TpVTwFZpx4qdUVFT2gaZ5aPUFBgU4f5uvEk0FcDrltvtTrncojoMZ nqQs8TAY7JU81HULSwRciSGojMTiKJLudRChbk2F/dCwJ+fToYHgerE/hPTZSbs1N7bS676h7wPX +3tw7tbqTtPem3tp7W7FxuMx38Grtv46pzOPzOJym4ov7ypV0WPejqVqJ6Qa49Cuyob64jhiJd1U HzPl8wPP9nVgrOdKKSfl0Xn4obx2HXfzIOss1P11T7T2XQ76352ZRbH2w+PhoNkUezds5yopMbDJ GtDT0tDgXky0rLGIKWWbR44wqxqI8909k3/efbvdtj2Oku5zrHGQxHejSDxBUkDK4J8l1UBOOjbY p7W23iC4uaJEuqhpUA0IGP8AVnoXv5iPzA6a+Wu/+7N97ep+tt+bh3Fj6XYvXGQz8c67+2/kqbKU eN2lRbKrKuikly2CyFPuqrq6mupVpYaWuwao9U0FUglXe3HLO4cq8p7XtG5XGvc++WdlYspmmkkl dVY8UQsEBOTSvSbdruC8vZZLdKQABUxQ0UUBIHrx62y/5Vfwf+Ep+LmO3ft7D7T3lnchj6HYu/8A dGFzFVjqA5HrbEUu06x3jw2VpsfUSZCeilr2yEkQlroqpHZnTQ7Dp2JjYSzmJl46W009CfWvr58O ilmkRgqrqx6Vr1qw/wAwXsDrj4t/LbL9c12R7D7W2Hmtqdi70oJNub4osZktp0vZ2eej2TgIYose +B37tLFbc2lJBT47NRyUtPSZl6mFZJIYUmTxPNcQQSlStwUGsEAZqcj7RQ/n0+xUMyjKVx6/MfZX qvH4e1+1tjdR94djUnddd1l2rUT7X692ztKCj23Xbf3dgKukyW65Zsrh917Z3TjN35jGb42vhHxe NT+G1WMkvm46tDjgvvd2k0jW8a2yvAWGvORQ4IGP8/W4Qg8RjIVcDt+dePRad5bQpd5VWZztfLm6 NqKomoock8MFRT1sbyfcVGQlmqG+5r6mqyLzyTzO4kYyD1sT7MtC1NT2DHHNPz/l00amp8+j+dpY vr3o/wCKvTmxcj0pvPrftOXE4rMbr3XuGWEx73hra7Lb3oNy1NRQ79qjHT5XrnMYRcVtfKbXxtfi /I+UirZ1r0ViyJ5JL6Yx3Sm3RApWtSGzx+ZxmpGOnmCrECVbUTg+RGOg33D1xRZHJ7Vh31kGrKXY +wtn7aiwyzTUrVGayEku8d85GplURSzBex955KldGKSFQrNfUuk0t49cYdj00FagJ6GXo3/Qx1b2 L1tvXePUfX++YcPUrW5XadXJkMhtfPffUVTE2K3Ph6isaizeBqqYPJGsUtHNIwCGpRdZW00IK9hG v8/8nVgBxpn/AFevRSu99nz7X3fX7joodvtszfmY3HmtqVWz6Otx+16aOLKscptKmxGTMuS25ktl PXQU02LqZJ5KelkpZo5qmlqKapmZXt7D8Q49VwCTToUesH3zu7p3L9Trkaqql7/7W6d6u6pTNZaq qPLU4Xc2Vq97UGJOSqZMftvadJl94YKoysqLB9zkUo7GRaeo0NsFZ4AOKnVT8iP8J68pNGNcUp0f fctac3uPcVd2r8fsDnNsbT2tj8EmR2TXYiuhwVdlajAT4t9sbI7voNn5ynROovjznPssZT109RSQ 5efMQzhGjidEuU/tNRZhx9KnV/M/zx06GoQKcB0He3shsba1F0/hcf2r2t8e8tVLS9pZmh3fXby2 xtqj35tfC7m7Zx0OAx3Z2IyXW9dR5Psml2djtv18VSEqWj+8ySRXjmWxL1kkWMagDppTJJ4ftrxp 1pgKCpIXj+zz6OD05T9h0mxN6bkn3f1v2ZtjdO+pchSQYzGQ7fw1bsLqeOu6h3/W7nyu0Wrts52C OLe+XzWNwcZ8OZeGbJNOpqUQeVIwsUSqVXJ/yU/I9bJarsOPD/L/AD6qI7Xr8Hkumsvv3ePRe8sR 2D3T2buLf2ye5qqvqKXauV2bnc7SZLFYSkojVhfscXjsVk6algej05IyCrjnC0AjL662eIAigXIP Ekjj03RKEhTq/wAnRH5H0h3JNlVnay3JCC7FUBvI1h/sfaoYx59VPDA6uBpOvO3qHdvwz+Pm7euu rd1x7HxVR3Rt6r6YnO4t87xwmysdmt+R7dzFVS0sKxZXPZSsgo6iup45aTLVhgfzSGjkslQrJNI4 JJXtI4DPmPsp/PrbV8NK+Z6P7vOt+N22u19jbWzG9vkJ8MqnrHrjcG7MJmsHkN6TYTH737Dq8Jtl aXNbhp6fdu1UrqiMbzOYygjmhlWSeiaWqkZ4fac6kjm1aWodIoaYPH5+lenSoGgVoo419eomxcV8 iuyelunOvutPkd0Z3tQ/L7vmu39uDqvdVDt6PfuJ3LR5XMdjZuHs7fuzJxXbbpsz110ljYMrAcUI qWnyBpYkplnUSeUaZI4/Dp4UerBqKjJFfPNetkn9VyRQmlT6eXTvvfJbM3Znfl78gfk3/LrrKnC7 Q2nhdgYrePxxz+O/0Y9Od0bf21nd/wCZ3nncyo2tvRk3HVdj4ATiJSKSCh8LNNMt1aBQ26rGxLO3 acgAmqgUIrQGp/y9VR1kEcikFKVxxIOa+RFR69VK4rC1GK6360xNUZf4jXYGv33nDMxeabLb8ycl dT1UrO7ys8+2MdjH1SEyMGBJuSTmF7F7aLLlW+3EpR7u6NPLshXwxT5a/Ep0R3rB5yfICn5+fTbL jm4Khv8AYC3+FrA35t7m4SDz6SEkV9OscGDlncDQ3+xW/wDQccGwPvzSgefXqnhToStubHepdCIW J1c3HHIF7cW+vP8Ar+0U1zSucdeOOJ6//9YMDCb/AEFv6X/H9P6e87q9EPlnro05/Kg/U8n+v+x+ vv2ode9OuzDb8C3+H9QPz79XrWfTrr7dhY6R/hz9R9P9j79Xr1evGAkAEAj8i/H/ACL36vn1vphy e18flImSenjJZTybHkj8f4W9uLM6nB6srspwegE3h0lHM/3ePV4p4W8sE9MzxTwSKbiSGaJllicN zdSD7XpeJLE9vcIrwOKMjAMrD0ZTUEfIgjpXDdFTxIPRjesfmNv7qzZW2ett5vnKvE7WyuyayPPs 82aavpNqb4x+5Hhy9LKXqGkqaKkRJJAspkenXUfUbYn+5X3f903DeNw37kWO1axnYN9GNMDRNSjC GtImQkagupGBJABFOhJabtHoRJqhgOPGv2+nVrfxJ/mGbe3B8habdsNNjt34LGbUaGk26a2WAY6v 3LvzKZrfGeSlkmnmOTbM/aSyLUB1Zkic8qhXGbctj33li88Pd9kube6FBomiePjkkEjSamtKEggA jHRuHiuoiqPgjy49Xg7M/mRdfZnuzIdTbmw429j3zlVi8LvF8ghogixQSUP8aglUfatVPOEMiOY1 axPHt+Hd5PErPGBbk8RWoHlX8+kUm3hY6pJWQDh/m6sRjzFNX42TJYWWnzsf28k1KmLq6Oda50Qv HBBVGcUayTkBVLuiAm7EC59mZn1xM8NJCFqApAr8qnA/PpBoKsA/aPn5dNMyTZrD4yryW2ooq9P4 blXwuXkxVdNhq+MrKfHWQfxDGNl8YzN4poHZPILrJYg+0z+LNBExtSkpAJUlWKn0ByuoeRHn59OD SjlRLVeFRUV/LBp0h88uBkyRjqtq5Sk3HKiz0ldjcvUYvLZWkQyJJUUOWw861FZUUSKDLBLpMQdS fS1wW3CWwdT9Awuf4g5VzTiQV4keYNPz6URmTSf1lMXoRUA+QofXoNezfj11j3vgBiN7YDrju7Dy xNSx4Tu/rfa3YtLRpJ6njGRqcfQ7gxLxkkgiVpFflRfn2e7NvfMmzOkvLPNV3C5YY1MCD8yhVvyY sOqExqW8a20mnFTT9gyOtdD+bL/J9+L+x9v7P3L8NOnajbnakm5Su++uNiZXM5nFT7Iq8TkqiTP0 O08xkcpJiqqizVPAojoHj8kNQ14HAVkyk9rfefcrrdLrY+dOYonQQa45ZQkf6gZF8PWAK1BJ76mo 49Fs6T+D46Ixj1U9fX/N1Qo/xVze3a/+Gbj2vmNu5NGZWx2dxNdhq3UjhHU0uRgpag2bg+nj3kSu 9xXEYlt7hJIjwZSGFPkQSOip7llNDUfb0IWF+NqqU10sUf6RaTxhueD6bsSP8faaTd+PcemWuCc9 DFgfj1iacJ9wYLC3pjjDG4P0JNhxb2gl3ZzWgPTZkYnj0M+C6l2vjvH/AJH5yAP1hQL/AF4CgcW9 l0u4TvXup1WpPQvYnb1DQpGtLQ08AX9OmFFYWH1vpv8AT2Vyzs9auT06qivS9oqC4XSOSB/gBzf9 PA+nPtDJJ1cDPS3xmLOoHSLgEkn/AG1gPwD7Su/r1vh9nS4x+ONhcKFFgT+fz9P6f4e0zt6cevE0 z0gO0vkB090bSB9/7qpaTJPEZaLa2OQ5TdeQIB0/b4SlYzxRSMBaaoang+p18e6pBNMRoWgrxPD/ AFfYD0pt7O4uTSGMkeZ4D/Z6rl7L+cXc/Z/3WE6jx6dZbYm1R/xqnqKXJ77rKfgMz5FVkotv6kbl aSNp1/47ezODbreOjS1c+pHb8qL5/wC2r9g6ENpskasrTVd/T8P58K06K1guq6yo3BJmdxGnzFVm RM+RzO7qbJ5+Vp6ggtk6sPUNksjWwSKCrMznUblSPa2ahifSDrxwoDQeQqCAPUADo8FqVUKidoPA Y/LoSs71bLksZBWxfxevWCNKNp6bZtBgduQyUoLwJTGjbzTeOlV2Z5EWW/LauT7JNYglKgDWCGC8 Tp4MK/n04ka1KkKD6aqn/N0lqvrCqxE1DDXAUNRUQrUUcM09NHOyIw8cgi/zsbM1iilVfSVYAhlJ C97vOwwbhcxNusCnjl1WmaEUJrUf7PT8ehqoGqehC3P11is7tlGqsjSfxqB46qWOtngGRmr5dSZO JqeKmjktMGDLI3LhEBX06if7ZuENY5UnjeAjDKwYEfaOmWhcvQpVf2dB5g+rqOkykBq6Kgr6d/8A J/FWVNdFQq8x8cM1VUUDQ1cSUzuJAQSgt6gR7FKbjbuo8Ocaj/Dk+uMEdV+ncAkqfyoT/OnXW4eo abCKlSBjKikerqIIqjH5OLK/5jTrcpHMlTHDLf0NJEuv8H2YQ3rSNpNQ4FcrT/J/l61o491DTgRQ /wCbpjodpUAlRdCkubl/ARY24PqkbULfS/N/8PbzSsQSTn5Y69ooQD1Yv8fcvnurKSKq2LuLKbdn m0SV0NDNbG5Bv1EVeJkebGVf1sxeLURxf2DN40XklLiJWpwJ4j7DxHSp7O2uoylxEGX58R+fHqyX Y/ypociIcd2NhRFIwCHcO3qdvCAfT5a/COzSJYjk00jWBNoxa3sJzbayVa3fHoT/AID/AJ/29B28 5cIJeykJP8Lf4A3+fo0NDgsFu/GrmNtZChzWKnAtUUEqyxqWHKVMX+ep5h+Y5VVh/Tj2g+qkhbQ4 KuPXoNzQTQOY50KuONei7dqfCjoDtqKVOx+mtgbrmkU2r67blDDl4zwBJBl6SKnyUMinkMJbg8/j 2Zw79dougXJaLzVqOp+1WqOqBnWhUkfZj/B1Wd2z/Iy+NW6xVT7DzPYPV9bLraGmp8rBvTb8cj8i 2K3VBWVMUan6iKpQ/wBD7R3Nny1uba9w5ftzKfxxaoW/4wdP/GadOC4lXJIP+r5U6rK7N/kT/JDZ dRNleqN6bJ39HCZXpFiyGW663OqkEFEapGUxLPNGSGEc0KsDY8H2H7rkPYrkV23eZ7eT0nXxE/3p KNT56a9PJdrXvSn2Gv8As9Ed3z0D84Og/wCIJvTrjsjBUzQ1MEm45dqtuGlg81PNRvW0e89mx5Cq jlEFQ9pKiXxlW9asAF9hy99ueYUVmtYre9hH++pFZj/zbfSw6fSeNuEhDfsP+ToPOle7t89Idlf6 S8Hgdk783NR7X3LtWHHb427Fu3D4+HdmOqcXlalsBFV0xjyTQVsukTpoJmdXjIbgI3+231oRHf2s 9s4/jjK+VOJqvT6sAdXHovjPNFLC8E01NW0sqVFNOt1mgq4ZBLBUIOPHJBMgZeBYj6e08ekoNNGS nHy+3/V59VqOrvOnO0vkXlOgctlerMZX4vrXKba3Zktz7dx9MXOz9s4GSWjzeZbe2ArunMjQUSTy tV0jSruqspqaWEyGSK0aNyIkieHJGjin4lViKfMgkfkR1ZXbipNeio/JnefWm7djbkn7C25BuT5S ndWB2xi96bc33vx59t9fbA2ntjbFHtLf2w97bSwFPSVtJQ4d4o6mnaeStr6iV9UUcIVqVuWuPEZq xMO4njqAoKegHWyUCkae7/Vx6feu+jesN27ex/WGyt/dQbiXIzbdzFdiO067G5CLcvYeb69wjVNZ 15X7Xy2yd9bMZ8+lZtdcbNkKieauGPcUimqneJxiwHz6ooFfn0GM3x3rFfcQ27tLtzr07dp9z1m4 k2JvDHdjbWxmM2rlN3YjOZ6p2Z2VDsLcmP25ST7ByrPUS5qrZY4Yw3rngSSwJGNWetgEGoPT32hR 917nz2wqf5H9x4fcO2+ucrgsTkx2NtzP9f7pp8Dt3H0FHJt2pylVtw7U7DztRsnZcWJoDTZ7L5Nq SnipoWMUKojccUSNK8ad7mrHzJ/2P8vVizMqq71UcPL7elpgaGs37hMB2XuHPb0xtX2HFS7n8Yr8 dhBLJmMnXNlKzCpWY6Cnq6CHPYirRfEzRtHG5jLGI+zBAjJGKkEA9eBJANeg8xOycbJj6KjmyW6c JRYzHBXxtHuWvFFQQQOHOiNaWMQsqTRiLSbv5QqjnhwqqjJ60Bwqeo+/M7Dm+neychWlv4endfWt NtWaaSWoaTNYzrjd+N3/ADUtRIzLLNU4emxEmQkuXlYUbNcFD7QMayO1OPXq/EDw6G7b23aKn3p0 Z17n+vKzsDB9I/Gup3lvfasFRhIvD2B3vHkd0YLI5Oj3FlMHS5ePCbq7W2rFPioaiOsrhjRBB6/W iNyazGvcTQdeUf5+hXxtX1nU7Li25i+0uyOlK7uTsKfaueXf1fu3b+x6PYeRzu2ersFuSsod44fd m1Mjisf1q+66vJVVDn4KrFrPHi4ZZqcyr7oaimuPAWvyqan/ACD+fTmM0xnFfPocv7xduz5Ps7s+ Fuq+38BiMdi9u5Q1OObZe42zeahoO883LRHq+t7K2FBlstt3rjA4jJzaYcdUxVcOIjSmqi7q3pRV ABILMT+YAI/Ikkf8V1skjicUP+r+XTd8gKGj6S+NWawOa6ebrfsHa3X1LtitG3sltxsDhu8NyUrd Zdu/3izG2s7Xjcm/89R70ra+ajnBXCpQI1MYljMQUEEsxp2ig/IYP889axoUkdxr9mc/5eqxvkbn 9qQ0PXOwOtO7N99r9d7U21TzUeI3hjziqfZmYmDCfG0NG1DjmidDUT2o2+7GJjkMMdTIJZArqAeK z+HSmASa1Hr8umz6as9A505s3Mb87P2Xt3C7C3F2fPVbhx1Vkdg7U+4TPbp27hqkZrdGKx9TCrPj DPt2hqtdZwtJHqmYqqX9qCRQsTw69QmlOPVtfxSxPTtR8n+0924GbsL4obVxk+2eudoYuRTmN8be 3hhxVdh7wwaRZ6XNttnHVA6wFDURSy1a01DkHgL66pQiZdfgESNWQkkAcCP9jq2PEqooB6+XRz91 bi+SG4Ote99y9Qd59Fd07O7t7D2/8d9sberaEP2pBNlZ6TrvY1Ts/cu26+Ssp4JOw+3c41NLVQPS 5SehlyLiWKNURHpVvpUJAJOqnzzx86UGOnMfqGoIA8+HScze3OrZe8M5T9/fBjdmxMH0v0nFs3ct T8ZGxW9BtPfOUm27tHZm/t0bl2DPsveNTQUmD6p3DVFJGNVPlMjUs5SnIBqHZ0nljf42wDwB4n08 vKvH5dMKPEWQwyBqtg0JUU7SARQ01A1HHov/AGNvza9F8G9u9fdNfMnt/Kb9+TvZmOx/d3xC3N/G qvA4iTeu8avcOJ3jX5fdmFGbzMiYnBbfiqMhTV0zVtTo8kjxuVFyW8WJKFtPcwzjQKgf7ZsetT0+ TpR5ADpzSpqaUp/g4dBRvOgpqncWVWgVf4bQzQYXDhAFRcLt6lgwGIVbEjQMZjore8/OUtu/cnLO xbXSjw2yav8ATsNb/wDG2boMuwZ3cnBP+r/D0lYduyzSWVLqR/Tn/WH0te3sRGWnVdS06EnbuwXq GUvCWYlbCwPB/wBj9faSa5VQc9VLH8PRsevun3qTDJLTHTw30P8AT+uj8+yC83ILUauqgE8Ov//X SYoWPHHP+v8A63+xuPedGrogr139g39L/wCsSLf7x71rHDr1euvsW/w/4p/t+Pe9XW69e+wb/Af7 c8cXuR79q61Xrv7B/wDD/H9X1/2Hv2odeqKddfYNf6D/AGH5/wBv/re/a+t166OPJFmUMCPyOD/r cWvb37X+3r1ektn9jY7MQsslKjOysD6V/P5BsD9fb0dyyHj1ZXZeB6C/q/D4ro7ubF9jV1FWSYmP E5zCZEY6jSorYoMrTxiKoSAPG06QVVLEzqtzpFwLj2FfdHYNw585F3Dl3bXj/eJlili8Rii6o3qQ WodOpagGlK0Bx0b7dfJDMjS18Pz/ANWOjZ0nyk6x3v3caHD5TMUbZzKx0eNlzeIrMTSZWpqaKKAR 088+pYXkqIgkQn8Jd7BQSQPeH28+yfuJy7tE27320xSWKIXl8GZJGiUCpLrVTQfiKBwoqxwOhCNw t5ZFCMRnzx0fjY3bvY2wp5G2pvfc+3pY4KxRFjcvWQQFlpZ/GxgEvhLRvYi68Ee4kMC01RSlD5FT /qr/AJejAsSaMgNPI5p0dDrz+Y/3rtyeKmz9Vid648TqjLmqJI60wPW16DTkKFaaouKaAWL676Qf 63fimvoj2XrMvowB/nx6be1tpBUx6T8sdHO6+/ma9U7rGLpd+bRzG0cjK8B+7hWnz2Jp6tyIhNE4 ENfTKrN+rQWVeCT7ebd2Vf8AGrUeGCDUZyPMDj0x9BXMMpP24PRrOy/kf15sKSi2xjN2bXk35uXG 0uZoaWuyK+Smx+UikbH5mqoqdKjJVENQIyIIooXd7cKRwTlLtXi02VDLIKs5wRX+df8AV8ukQgYs TKCI1xTqpTs3vDb22tzbcwHYW6s0M/2vnIavBbeptvZ/c9RksrSeajmy2RqMVj8i+OxkKVZgFXUL TpCqSuzBNTxehNvYSQws7+NKRQ01EsfMnyqTxPDpQyyzKzKF8NPLhjrN0/sKmxWf7Cj3j27vnu/C 7xrRuGHavb1XtjeXXmyKAC82F2Xt+XAU2DxFLTysSKiJVmMARSQFMkh3a3N7tEpuNu3Oe3nOf03d B+YDUPz6TSKswpJCh/LP+c9Fv+YHSu0sFtDB9q7A6gw3WuyavdC7Tps9Qz53F1u8s/NT1lRUtHsL LTww7e29RUtFrgqoKe9fJISDHGE8k1+1fO3Ne/75Jt+6Xnj7P9JJIruoEviK8YA4BtNGbjWuDX1K txs7SCHVGKXGoVAPbQg/zrToiVHSarExgAhSB/VbcG3JF/c8O9K56JQOA6V9JR3C8D/Wtc/jj+n0 9pHfj04B8+lXRUV9I0m5PJt9B/h7Ru/VwPn0ucfQomngfVbsf6k2At+Tz9B9faZmJPW/IdBl2x8l OmejIGTeu6qYZ1YzJT7Sw4TKbpqSLFFONgk04+NmI/cq3p4wObn6e2xG7kCmP9WfWnSq2s7m6OmJ Mep4dVf9u/zBO2OyFqsN1lBJ1ht2UNE9bRzJlN41kL3jLSZkeOnw/lH9iiQSL9BMfaiO0biVovkf X5gHH7a9CC22aGPSZmLv+wA/5fn0FOy95dszBf47nod60UjRvPR78xOO3c1RGFI0mqzlJPm4QqtY GKpQj8W9q/3fb115D04r2k/bQ0/KnR7GrKoUfCPLyH2Doe8Uev8ALJFNkNp1Wy8oRd6/aNRNPi0c EWf+DZSR6qljOrlYZza3A/HvSxXMXwTa1/pcf2/7HSxEGCVp0IOMxlcQP4HlqTc0UbCRvJemrqfx PYPofw1ERVQvNiB/j720gGJUKj+X+bp7QKEk46c6mtpsrPNT5fNBKoskk1LX5mKqtKoRXUWnsrkJ axVCbj2h8SwchUliZhX4WWtPsBr9vVFEKFWTR0s4qSrjq1y8uOw+SyT01NE2Yr6NKqtqYqeIR0bV ErSs0slPCQqyaQQqqDfTf3He+e1fJHMd6L7cLS4Ev8Mc8iIftUHSelELvbhvBFATWuP5fL/L0nZ9 s0FUs8NWKBfvKqWrnKwqkj1Uz65pVKxtxI39P6e08ns3yGY1SCwu4VUUHh3Mqj9mqlfy62Z5SckH 8h1Gh2fiMPVxVNLHT08llaRKqBKiOUi3o8bS07Ol7E2v6fYa3H2QtpI2PL/Nm4WlyPh1NrA/2yaH H7T9nVg+ghjGp/LodNv7G2BvSPF4/cWOOKrcnjZslRZLbykRSw02TrMW0U09TDHFDK9bRyAC8wVA DY8e4W5h5o90/aTeX2sc3Pdnw1cJIfqIyjVoWEtHTIoaODTh0rjW2vA4EIV18s/8Uf2dAp3Z0Rku kuwV25Wzff4bJ0dPntsZ4RNFHmcDVGwe3KLW46bVDUIPSrIGFldfeXvtvz7b8/crW+8RxrHfJ2Tx g4SQAHt8yjghkP2ipKnolkiCSENxDUp0MHXuJg+ypgJF0sqHUzLeNwo9DW+n+wHszv5TrOM9PrWh 6GumoqNGUtKFYaGZfVpKqSCfxYKGv/sPZSzMa4x14kgdCVszde4dk5P+IbYytXip20BngYtS1cSN qWGuppS1NVwkE+mRWte4sfaWaGOZdMqgj/Vw8wfmOkVzBBcppniBH8x9nVh3VHdON31TJSbuxEeD ySyfbfxumC/3dr6jR5fHL5pGnxNU6eoLIXib8OPp7D1zZyQHVDJqX0/EP8/5Z+XQS3DbRat+lJqU 5APH8vUDoeqjalFMokjEciyAPG8el0dGvZkdLo6N+CDz7Qi5cYr0V6ckEEU9ekxXbFhcHSgJsfTp HA/xBvb2oS8YcT1oqM9I7I9eqyMjQKVYEFCgZGU8EOh9LA/m4PtXHf0NQxr1XST0Uvtv4KfHHt9J T2J0l1/uGrcMTlm27R4/OIx51xZrFJQ5KORSLhhJfVz7Not8uQnhNNriP4XAdf2MD1ZS6/CxUfLA /Z1Wf2v/ACMehNyCep653l2B1vVWdqbHZCei7B23C3JVBR7libKww3I4irEYAcEe0FzYcr7kdV7y /Csh/FATA1fXtqtfyp0+LiYYZgR8xT+Y6IHv7+T/APMvrWhrqXq/f2H7C25LRZDFy4Xb29Nydf1N dhsiXbIYqbau4psxtepp8l5pPPAtRFFOZG1A6j7D93yDstxVts3mSF/JZ4w6j/bqQafaPn06LsAj UCB6j/UOq3O3/jv8juu8llsn251V2bgchX1lZkMnnc1tKtr8XW19ZUy1eQrmz21RuDB2mqZXZmMk KXb9KjgEd1yFzJbq0ltbx3UIHGGRWP5oaOPljp5biJq0cV6cuos70Emw59u9kYB8ruiLc1Zmv47j ttHNRw4hafFwLiKvObU3RTbz/eoVqv4fRpDQUdPlpFmrpZqYgQA69t7qxcx3ltLA9eEilD+WqgP2 16dGkEZHRgcPh8BlsfnZeq/k32HQU2Fi3tWZXY1NujI9jzZjY2D2tX5KDdeY2/uL+5KVuR3rTU7U FbtqGnyMNHVlJJZVpUEiJaY1MKn/AFenVxU8GFOgxz3yM3X1JuGfZGboNjd0Y6lw+JpNwNnsTuPC rXY7cNLS7ly20snhayuq8HQ7ho3zdZDX5KkpZahqqrlVaqWOGNQ4qqwDDHWqkY6E3qD5o4HsLYu2 +ovkL0fVdhUXUPWFLtjq2r+PlHWbJ7Ey+F2p/e7MTY3c8cFDu7aVastfuVspksvNiAxWkLSB2VEb ZaWMl0oScfl1pTQUJ6tL/ladnfysN2dyxydgU29KXf8Ai9lb+3TtXaPyvx+0qTrOm3biKCLJ7Vhh 3JtWabZOefGYWOZUfcFDF91UuamlooWWOINvM+nVJ6H7OtNVtIGMj9nn1TLDgcZ8jO8/jz0PtWip NqbL3Rv2h2xTYPCVsucpMFhd3bspE3juvI5GRKJs/uWfA0E9XV1jx00Qo6Kmp4YqakpoYkbQmOMl 2JAHn8hXrch4hfy+0mnQxp2Jtmv3r8qe8sZ2TlutM1vDsrLUuwtqY6lp4duZbrzamOzW69qYOop9 07R3DtPdzYXeOF2tQUeOerpajHmL78DTCjIk7yluGHeTn8zT/L05irAHhw/IdGZodrdrYnPYjaGC qepe6dr9YdffwKjzNLBW7ShraHKQSfHPrXcuOy+2a3sjaWZy65jemYq8FlqqhhFbPBU1mS1qYVNy KoW1EFm0/IUx/k62BQDzFP8AD/xfSL27t/pbPx9Z0vZfUHZ3T6dob7/j+6t89ZU9DX+Xrrd256rs TAVGMy2xctCaChwvVu36eBlyeBMYSpqc2qUywIGcrVyGaoUU/PjXqhBOG4H/AAcOlj31nshvrfnQ W0uuu59sVFblt7ZXuWmqd7VVJuDrrDbq6IwNZ48RSzxYakXsOv3ju+XJwlqnzvW5SJqRp2SNSnka gLuSKDy8z5nq5oaKpr5Cvy6qP+Qu8dzb67g3znd3VO163cMean2/kK3ZTGba1c+2bYGOvw9fLJPV ZelrY8f5FrJpJZakNrZraQqiFexaHiK/8X1R66zWlR6dCD8SJ+vMV2Fm9zb8713f8fMhtbZWYyew N37OwqZeuye8amakxDbeyCvBWJHia7buQr2lgMLDI6PtNUfmZ19cEiJlC1r15KahU0p1cj8C4+4N jfHLd/dmPrOuN35DemH7H7R3rg+wsig3rurPVlY+ax1TJnKjG5SXB4vJbU6saeWoCyys+VgYGFXD SM3BVUhgWQqpIpTy/iBPzx16PU7F6Z9fs645jZnUuJ3d8d9kfIb4Pdo9E57A4zcfYXc29+j6Ubm3 5vClh25kZMXnMbDsfJUe7NoUrdp9hYmSdKmISYmjpqaCDzyK8bMlm1zMJF7EpTHEmnoPT16coQoq OLY/y9YsBX4XcnVfflL0b88cnSbk7u7goemMd0D21PjM7u3d/XX8Yw3THXG9t0Zff9LidzYCbGYb eGcr4pIax3w+NRmkWnmUs1dAP0sMqUyS3pwovy+Gg60ViJ1EAhDqWo4Hy00pQg5+fUr5gZXuXI/K TonpvuWDoOprPjP1VmOwMdnegaOsp9sbixT4mh2h13Llo6wSQ01dRTbZxz0wp5p42WqaYuXc2EHJ e3nfeadrtpAWWa6QOBwCo3iyH59q0JxwHTN6TFahBSlOPn8/s6Amn220rKhBbkC7XJY/km97sT/i Ped7T5Y9Brj0I+2+vZKqSPTT3u17+M8AEcfS/tDNeAfi69nz6Nx191AHaJpKS99JW6C3+39h293K gIDdWCHiRjo6+y+sYaSGEmBbAj6xrbkfi4tb2Fbq/qTVs9OKPQU6/9DL9kP9f6XsPz/re839Z6D9 B177L63vz+bD/Ej/AF/p79r68B140X+J+v8AQcf7z+PftY8+vUpw699j+L/0tew5P1H5FvftfXuv fZH8Ec8jhf8AeePe9fXqdeNDf882/wAP6f7e1vftfXqft699kB+T/jcA/wCw5J/p71r62c068aI/ m30/H/EH6jj37X1qma9NWS2zR5SMpUDmwUEBTccnnV9bW9uJO0Zx1b1x0Euc6PxtcxmpwnlDrIrL eF1dX1xvG4OpXRuQVsQwuPp7Wx7iQCr5Uih8wR5g/L5HpwSstPl0/Y3c3euxGhFJuF9x0FNbTQ7o pFy5aIcCMZJDBlLaCRqM7Hm/9PcY7z7P+2u/tJKNnNlctWrWrmIVOa+EQ0XHOEHoKDoxg3e6jABf UPnn/Z6X2I+UuVoRFT712HX0/jMXlyG2qlK+EGGKsjEpx+RFHUIA1Xr0rPIeLe4l3n7tW4IHk5Z5 rimUcEuY/DYj0MketCR5VjUHzpx6N4d+RqCSMgfI/wCQ1P8Aqx0Omz+/erNxNBDQ7sx+PrTIxTG5 4y4OvCipYxhYsqIYZG0kX8bva/19w7v3tT7hcupK9/yxcPbLX9SACdKepMRYr9hAp0Zw39pKVCzA MD54/wAPWP8AmC/JPZEfdWyMfQ1VbnazH9V7Updw5nabUmZp8NXxUssFLisp4axJPvjjXV/HT+V4 onGtVZuTrk72c575o2KTe9vsYYbYsAgncwyT6ahiistAlaDWzKGINAadUudxtopmj8WvzGRn7M/z p0FvX3zH3TTxQYvA9vZCWiZ18e385kalVj0QinRIMLuhFEdoY1jUwBG8d1VgCblu8cm89csEvu/L 15DEvF9HiR0H/DYtcdPQlh889aSW0lykyk/6sfb1cr8Qu+uq8T1V2Z378kclt+mwXWeUxWCw+FoI Vhy++szX4yPKYbCR7bmgyFDn5ax4pg7RGnRHRJHQ6A4TcuWV/wA0bnHtdjB496WAVFOf6TSGhURq PjdiAv8ApiAWLwi3QMCVQjJPAfZ8/TojXc3yt7X+X+/f76bwjbbmx8R5aLYPXtHUs+M23iDJGElq WshyGarEiQzzsDcqFWyKo95fcr8kbZydaV1ife5FpLNTAH++4ga6Igf9s5GpzwAC9zctO2hBSEcB 5n5t6n/BwHSYoqMAi4+vLcfT6W4/p7PnfpkDA6VNLTxoNTMqgWuWIAA/Ju3+t7SuxPDqw6D7fXyB 6j6nydPt/eG8MbjNzVdL93Q4BkrKvKPTyK5gq6ilo6SrmoqGcoQs7oUNiwBCn2WTX9lE7Qy3kQmH FNaBv2Ein506XW1lcXZHhqAh8zgf7PRC+4/kT8jexlraDrCt27gdpLFJrfrjdGNzO76uiAIaSvqK hsfn8eWRrlaShgPP6mtf3WK+spKVuY0J8iy1/aCRX5Cvyby6EVvskcOl2Gtvnw/If5+iJUWwtwZK rllycNWaueZnrJq95mqppyRraeSrLPJKzgklnLH8+zNbjbo++S+hC14l0z9tT/h6NEhamkLRf2dD Ltvr+koPH5aYrK9vXGDHIwW9yTYwkra9h/xPtfHcQz1NvKjgeasrU9K6SadPxwkfh/Z0IldXYHaN ElRmcvj4Iktohn9OQmYHj7OliEk1RIfyYwQLG9vZfum87ZssP1G63qQRnhqI1Gn8K8W+wDp2SRLY Auwp/P8AZ0ja35CYKmjePG7br82pUqtRk6mKhhQrwWiKJU1VmHIDAH3HV97rbZGGXbbCaY+TOQin 0NMtT8gekj7tEBRYS1PPh0Cu9+0927uUUsc5wOEVdD4nD1M8f3X9Tkq4stbkQASFjdxCAOEvyYx5 h5u3rmJytzN4dn5RRkqtPQnBavnq4eXRbPeTXDdzUT0GOgqipJ5plEUIMrsqqQg8kzE2Cq49RkYm ygG5J459hdUBI0LSSuKetfl0m8/n0ItLDnsFPFR1tdncdXU0aCQS1WWp6ulYgNHTU9PM6GnSn1aS qg8i5t9Pczcv2X0EFvFcu4v2UOWck0BFQqqe1RQip+Ovp0uQNEVDEq/qf8nSqp9yb0fQ43duZIIy zCZ83kQ4INgW11PkZwBc2/1vYjRbuYlvqpUi9S5AP2Z4fLp4NM+RK1PtPR9Oh9t1fZXVeTmwfZXZ W8u5KXIZCKHY2Ox8uZxeBxEAUYyvytflKcY+KDKrrYO1SzKE0hARzB3uXz/zVynv1tb7ffeBy+Y1 YzMamRz8SiquccQAK4rw6NbRfEjcCZmk8vPocumdhfLrFbp29s7feOqMHQ12Smmws70WIM9TRrOJ snRR11FRK0MD1TrIAzA/uFv0k+4S5+5ms+b/AA9xu743W4Rx6AdOmgHCooC1CeJp0qs1vYZH8egq flw+wdWV/MfpTL1PU2yd/NX1eTqNhxUlLU4J6U5EU2Hyz0tLlsjVTxRvNjqbGvHFI0zloAAQQuoM Bp93Tfp+X+ZW2G7niG27rbhVq+lo57cM0SKCdLCVXZQg7tQqKivTtyyyklF0uDx8jX/L0TnaWP1w wmMRI6Kv+TSmxcAKbRuP2T9LAjk/j3mDdFtRqpp69NEhVFD0Kxo4ZQW8dpXUMgBX06gQwN7gKSti P8P8fZbqYfZ01qAx1KpJpKZlWQLKQSoiKgBm0XKoW9LyaQLX/J96IqK16o1GwB0Ku0oMDmTLFPDX VLmNI0paN41C1QKv466CSNpRrivbTZwx9BYce0cxlj4cP8nqP9VPXpDcmVACjIp8y3Rg9t9g7964 lpUwOIydRtyR/INo7oqp6sVMJCKzbZzE1PABOzqSIdYk9QUxNa/sqkit7gfqOviDBZfI+jLmn2/z 6LWtLa8UrLMpuP40AAH+mANafl0cDrvtfZ3ZdNImGqjSZql9GU23kwKbL4+YHTJE8Lqv3Ch24ZLN 9LqLj2V3FtJbk6spXiMjohubOe1PeKx+TDgehKko0cWMQH9eQDx/r24HtPqp546SA8aHqBPhYJQd SLc8XIW3+3HuwlYcD1avy6ZKraNPN9Ih+bn+yb2/s/ke3VuGHn1quB0lq/YcRJ0xhgf6C97n/WP0 t7UpeHGevUHHz6RWT6+EiPDJTLJE4KtHJGskTLaxV42Uo62+oI9q474qQwah69Tomvbv8vv4xdwG Wbf/AEZsPKZGQMpzVFhYsDnoy/8AuyLM4E42vSQHkEuefZum+3TJ4U0olhp8MgEg/YwP+Snl14M6 DtYj7Oq1u1P5FvTWa89T1l2L2BsGfVI9LidypjuytuRNwVSMZ+OPcFLEtrL4a1WUHgj2Wz7XypuN fqtkWOQ8WgcxGvrpyn8hXzr04LmZcmh/aP8AY/l1Wn2r/JW+VuzjU1Gz49j9r4+MzMX2zuOq2nn5 4zchhgN4pkaCWp/2hMjGGP0PshuOQdsmDvtW/tE3kk8ePl+olSP956eW7Xg60Py/1V6Jtiuv/lD8 N93VW58n1rvXYWTbFV+2a2q3hsLKyYauwGUqKKXKUCbmwCZzC0aVrUcamphrqWpjQFo3jPID93yH zPAGdNvS6h46oHElKcaqSGH+89Pxzxt8DA/6vTj0i+ze6d2dx4+Sfc2F25XZf+8z5iXP7WxWBENJ hafFPQYPacLYKjkqqXEYqpr62otU1Mpnkmj1qrQKxCc8MlpJ4N5A0UgNNMilDX5agAfyPT2rVQ8a 9Lz4gZCj2vvPtjtWqyUONy/U/wAee2tzbVRrmrm3VuejxXUVBUUEIZHapwVH2bU5ItY6BR6v8Qmv DWAjiWIX5AVya8KU62KhlxShr0djqzbPae09jdCbfpKDqzsraOKx6945PbdZUZLAUNft7HwYz5Kd i7d3TurD1e6sBuUUMuAxWLyk1TjlrsZN48b440eQ+0zOomkJY0VD/sfz6uAwWvGp/PpnytHtfb+2 uxM/U9S9ldbb6rdwVWz9ub22ZHIcbV1e3duU/XWVo5sz0xl9vY18pk+3s3WVdfJUYioo6ukpKehg ElQZb7Re2HSdWKn+XD58et0+M1IOB/h6F7EztkN/7nh6T+UO3N67Q6s2NkNs7VoO0qHB5nIZHY+7 q9uqqTE00Hh6z33iV250xsc1Ve4+4rtq42aGlgMbVMhWoJKHUKBmFPU5Nf5U/wBQ63TIKMDQDyr5 f569F77N63703xW7Ly3bvQ+yO3Z947Wym99snZu8d09c7/wu0t5Yip7/AJqaasnr/wC7tbSbdxfY YqFkrMXk5laqhpJaiWQRIVKSRjUle4cR+dM/tHVCD8RGD/xf+Tonm4useqqZpYslXdz9K5GnyWQx VTR9nbAp957Wpsjia5qHK49d6bMG3swJMLMjRVKLtyokhkQhhq9IdDr5H/Vkf5D1Q04ZHQv9YJ3V tzq3trpHpir6B7l253XNh6fKTYjObZqOwaCojpazDUNTt7Ab9fZG/qTXTZGS4GMqoqKcrUIEnVZP enQyNGxcjSeFeNfXrxrpYYNerQd443ofbfUux+oN69HdvbRm3bubYGzZO3uuqXcGcG+ereu5MMz9 gYgbRrchXZfE1vU+zsjUQYrwfoyb1Bpykf3UjTsS7AiiDNPt/wAPTiAaAPXpJbZ+Qe8Nj5nt3tfp 75j1OdzW2dgUnXj7e7SkwXaGXy23Mdtqt7f3Fi6Wj7Wi2L2QNtVXaT4zCUP28E+UfNrJ51qKaNL1 kiDRuik9zV/40FH/ABon8qnh1sGjAjIAHH5/7HQhT5nNYuq+OPSXf3xD6n7c2D8cY90jsKbrTcD7 P3j2rS4iL/RFSjduc7Yx+NxlZVHtvtD7ilqcZWSQZzK07LS6Fp3k92eFmaVxqYaaaVJ4GoBp6jSf LgK9eBOkDFSa/YMf5+i3dW4bZm6e0fk92H1tsLIdb9c5Lseg6z672LmK2bJZnae2toh8jl8HX1st ZkfNkKeshx/3QjmanE7sItKBQJU9l7Ezb1LuUoU/TWzMCKU1TnSv+20K2eOT69F25yAgKARnh+XR rdtbDeqkjLwckg2KNwT9OPr7yRnuwoOeiYYOOHRr9g9W+Uwf5N6eBcJyfzcXFj7Dt5uFARqoOr6Q O6uejpbO64io4oCIeQFP6AP8DyB7Ct1flic9XpXJ6HLHbXSmVQ0dgPoPp/Ui31/Hsme5LE56t/h6 /9FXfZ351D/bD/kX1PvNfV0Q/l177Mf6oH8f7x/r829+1da68KO39pT9b8fng/T+nHverr35dd/Z /wC1D8fj/D3rV1v8uuvsz9NQ/oP9j/sR/X/Ye96utfl139na/rH9Of8AYD83vf3rV8+vfl177M8+ pef9b+v+J9+1fPrf5deNF/Vh/wAVJ9+1eXWvl119mPoGH+P5v9Pz/T3vV14fZ177P/a1/N/T9f8A D3rX178uuvsQeCwIItYi4A/3kW59+1efW/y6barbWKrQfuaOnl1XudAVzf8AoRb6fj24s7p8LEde r0ich1DtrIu7NDovyU8UMign/X0gkW9qU3GZKUOera2HCvUOHpLbA/bUFbg8Cmitz9bkkC5P1Pux 3Kfj17W2SSem/IfHfB1yERfbtcg6J4QBe31sNSXt/T3dN3lSta8PXrwkYUHn0sNp9LfwmOmo6ium nxlNVCtgxrVVRJRxVZXxfcRU8kogimMXp1KgbTxe1/ZYZLGGee6tNvgiu5RR3SNFdxxozKAWznJO c9XeWV1Cs7EDgK8PsHRjcfQ0uOp1jUJFFGoHPpUA2sL8fX8f19l7s8h4VPWhQAHo0HVnxr7c7TjW swe3/wCBYF4ta7j3WtRicdMCLoaGHwTZPIK/AVoYWS3Or2Ct+515f2EtHdXXjXYNPCho7D/TGulP sYg/LpXFbTS5VaL6nh+Xr00di/CL5h4ag3PkMLXrPWUu2MnT7On6fosbvJqXP5dfsVz2R2tu2kw+ 6s1k9rUMj1FFSU8P2dRVMru4KKoiHmv3G3Hd44rXYBLaWhB8SjKJX8gurgq+Z0kE8K9HCWlnEFIq zgZLcK/Ieg+fVOnafxh3nuTc/XdN21gN9Ue/+p6B8Vj91b1krsf2purXk6nN1U/YuSzuHxuX3lBS VlZOlDDCEpcdTTGng1RDlfy97ZbLeQxblue8SXcU6angogQVXCl0Z3qGySz5GKdCa3sonSKVXJcZ 1A/yxwp6Y6Efrf4bTdobuxu0dsY9shuaphmqZ5qupeCnxFBSW+7yGRk8bywUtNqUaURpZJCsaK7M B7MN69u/bTZLCW9msZre3Wg/TlkJZjwVVYspY+QpTicUqFspSBDLM/DicZP2dHi6z+LOM6n372Ng slsXY69fbG2PmVyXyF7rxVBW4jdPcmY2earam2ekdo5KtXGZrZ218vlKafLZWZKtJHhkgd0a0fuA t0k2nb9c1ra3U0JeiQJG1zcEE0A8OBKlj8kp6k9Ewa4vJCIVIH7P59U47K2X2ruXIbs3L21T1GKr Z8lUUuKi29DtTAYbI1MIVK3JYSk25DDi4MVE1hGkFPDA+rUAb39i2XlDnrw4puXtguUlRUdgxMDg OKgBSyEsBhgKlGxQdK7O2nLSC41dpAp/lr0z7q+P9dU1yZClr6mlqpmD1MlZT/fpPDIf+BCGKrJa Tnnxkof9SD7DF9um+bXdFOZ9quorhjQGUMSacQGkIBp8m+w9Wn23WSyS91fOvSp258VJM34wm5a6 skP66Wk221JIzccRyVeRnif/AFzz/r+zG15l5NV9O67lfRJUVK26MBX/AJvEig/on5A9Nx7MzE1k /YP8/QLdtdeU/W+7Rt6GTLgPjqWslps7jpMfkaWrdpYqmlYyU1NFVwiSEPHLEChRwtyykk0uZ9ku Jmm5f3I3W0sAVkK6WqfiVhQUKn/Z6L7u3+mmaMatA4E+f7OoPX+z63d+7sDhKCIvNW5KkaQoCVpa OnmSetrZitwkFLTRs5b/AAt9SPa/Z7KXcNxsrW3FZGlAJ/hFakn7MV+3rVvE0sqBQTkdW0ybLxWe h+0z2IxuZpC7FYcxRU1e63ZnKU1fLFJJGW/ClrD/AA95LzNE4o6Bsen+EdC94o2WjoDj/V9nQeZ7 479a1CyLBgK/FnSSGxs88MsBCsSBFUCsp5I1P0Cj6e6IkBQRmJNHoOHTLWsJXtWny6DXbvTu7dq7 pgr+rNx5CDNsJVp2oZcvgMxHTqoknabIUFdS0v2dNApknMzU8IRSzWAJ9sbnY7Cduun3uytX2xIy XE6I8YUDJIYH8qZ8umDbNCS8clB5+Q6N3tLtz5kpjKCqk3Tu3e+LhnqKajxeCrNh5Dckv2sUSzT0 ddnZ62akW7GIGBpa1GQto06CcaeZpfu+Q2d3Lte07U27KqlRJDfLCxJONMbA1xiulPn0pQ3RHdqM dBkEf5eH59LXM93bn7CrcV07uT/Snuiq3o7T7g2huHtGojw2ElxoE8WIy1RjWp/vqqmSnjmrYAbB JfEEDhyYvvYOZtj2u25jTaNv2uyuNfgTw2apIyhqfptI8kkZZfheoYqaoRU9KYvAkkUMr6jwqfTz x0Z7r74n5SjodtZ/EVeRrWyNTCuU+6yFOtA9ctLLV5bH7dpZz9xTUNLW+doVMs0gpox5dTg2Cy88 8w3JUfvW7ADaUbxXyRxPHzHl5AdbmtLcE0bv6HL5EbS666927sTK7ZqoqmuyUtXj8tQeSJKmsiip knkyMVEkjBEoapTDMygBzIt/UD7yM9oOa9z5j/ee3Xd9LdxW8aN4zj4HJIMRcDuNO4VJIA6LPAnS R1kXSDwzXh0Xqlx+I3RRk4esT7l0uuOqHjjmkmj4aOjkOlXlCNxG1mNrKSePc0s8kLfqL2+o/wAv VGZ4mow/PpuxuWrNt5mBK5spiqujm8MOYpiKPPYtP3Fa/lDR5al9RvS1AKuvCsn193aNZkOnSUIy pyp/zH5j9h63IiyxMAgYHy4g/wCb7ejEY7fW2JMQx3DuCp3Jinn+ykzQxlQm1YnIJo6bPJX1c1Zs vJvOVVRU3oCxvT1MH1BJLaTK/wDi8ISWlQte4keakABxT0ow8weiz6eYSER2yISOFRqP7AKj86/b 0vqPC0r5KjzlF/EsjPjUhKzY6pWl3/j6eaMtBFRVtTKuM3ninADQUuQdZpgoFLWVH09lE108Tjs0 lqg1B0EjiP6J9SKAeY6pIWaNonIA+fw1+zy/LoXdv/Iip2sbb8Rs7sr7tMdD2NjKSphGGrxojbE7 5xVWI8ntPKxzEgx5NIQ4sVmfge7eBFcFEgDJckV8Mj+akYYfNagedOi2baQ6gwNS4/hPn/pT5/l0 bXD5fE7gx8GUwldTZGhqFVo6ilkDizKGCyIbPC1r+lgLjkcc+0LqyNpcEN8+iV45InZJF0sMdOfj /wAGt+fpb/H3XqnXXhFzdSf63sf94P8Ar+/V4de6wSUML2vGt/6fS/0497Dn169kdQJ8DSzXBjXm 9xa/P/FB7uspHA563Xpiqtm00t9Kx2+vC/QkE/X8e3VuGHEnr1fl0lazr9HDkRIb3/pxx/UHnn/D 2pW8IxXrRp5dIrK9bQ1UMtPU0sNRTSKVkp54Y5oJFIIKvFIrxuNJsbgjn2siv2Uhlchvlx61SuaV 6JD29/Lf+KHbrz1e9eiNjyZeXWP7xbfxp2luOORvrLFmNtSYur8l+QSTz7Nhvc80Yhuyk8H8MqrI KeneCR+VOthpF+F2A9K46ry7C/klbPWm3FH0z3Tv7Y0W4sUcLldv72ocX2Pt7J4hcpjs5DiKutro KDdsVDFmsNR1IWOuDCSmjJ1BSCTXGx8o7hVptnNvMTXXbuY8/NG1KfswOnBcyrTA/n1XVu3+U381 +lsou4+skxO658f9yKfN9PdhZDZ25BDIWZ1TbW71DFZVVVeFMtolC+q/4I7r2+sJg37s31Qp/BcR 5P8AzcQhR9unpQt6vmpH+r5dAFP2l8w/jpXbHj7A2/n6CPrTd0O78DQd39XV0dP/ABSm3NuXeZpp 980VDX0WSw9VvPc9ZmJ4Hzaxz5KdZ21Mi2DV5yHzNZsZhtvjRgHut5FlWnrp+IfZSvSpLiN6gSKQ T+Z6a8l8mtjb72Vu7E9hdD0eSyuczWOqKDfm0cljt5Q4HB0W0Nldf0uMOSzEOezBkwmO27kcrT1E eUjlqM1kmMv7SBfYSeB7eSG3uAySqalXVkYfaGofz4dO6wScDI6HzbPZfxyr93743T098ht+dK4r b22aqk2FtDceVyYpJcHvHdG5KzeO01292Au/Nv5KlxPXe1tvUlfjoqmlizeRnCQ+RIQrM0cK2qIa mYVpxIrU1I+wdXFCyjVwGM9Ddt5O+6bH9J7dmpOmu9sBt+jPyVz+GdG26c7RYZafvbfOJ3JuHbNT uvB5yhpexu36OmqaiaggmGQpUopFpoKedI7B445ZpVY6Y1C0pX1p+zUc9aAYhUPEmvRdux9s9C5r YPauT7A+Mu8tj9hbj3lPg+sNyYDEQZrZGF/u1j8N1TUUlVldh5PGU1Tkpe0sXm8lkXmxDw5GaNKe AtJq13jMtYkRg1WqeHD0p5Y69pWkjMCB5Y8/t6NLSZaWs7IpsJ0N8vcL3f1l011bXbe2VgO9MVjN wRYvafYmQrOtcltqlxVfP1XvDHw7a6s2TFWVLwNU1m36KrgigRFklcaUqZJHdSndTzNV/P5gftp1 ogaVAappXh58PL5V6CqpfdmZ2/1VS9w/HXBdhUW+94UPdG6JNl5XBy7hzOz965RPlBuaGTH9gYPG 12FzS9U0lFiUlpM+1NSYB/tp3epqbMsAlVIxo7wKg+pFQBT/AEzDH5daIFHr5in2f6qdInE7o2D1 7H2LuzAdm9p9Fby2rQ4/btNtbJUu6Nq0GYn2BsjJdh1eOw+1N60XZW1q+vqO7K3GUWOwP8RpWxqU wyieJ59UbEhVVd4QQxFUUEgmtEGphkCpkNTw6uKaqHA/yZJ4/YB/xfRtPiB1jW4j449RQ11Of4nu +hz3aeZZ/XVTV+/szJLRzVTOocM+3cPQOga7aXBPJ4nr2otRY7Nut8cLPchU8v04U0jH+mLj8uiG +YyypQ8P8PVimw+tGmeAvANPpJPjPA5+ptb2Ory/pUlukwTy6OZs7r6Klji/YAOkc6eR9P8AAGwv 7Ct5fFq5x04BTodMZtpYEUaFGn6auPqfoeLEH2TSXFa9Wp09nGrGCoVb/U/7za3Ate/toSV60Qev /9IW/sh/xzH+8cc8W/xt7zQ1dENT119iLf5vn/C1/wA3/wBv79q69177EX/zQv8Aj6f76w9+1V8+ tde+xHHot9P6fn/WI/Pv2rrfXjQrx+3xfj6fn/ePftXXuvfYj/Uf6304/wBjx+PftXXuvfYji0Z/ 2w/2J/w9+1/Pr3XjQj/jmD/sBfk/439+1fPr3XvsR+Y/9tbn/jdvftXz69177IfTx/T/AHsj8fke 96vKvXuvGhH4jP8Ar8cf8Vt71q69177EX/zf+9f7b+vv2rHXuvfZAc6Px/gD9eP9sfftR9evdco6 KzXEdjYi/wDgRz78W+fXuPTgkcUGkzMEa4ABJLsSbIqJ9WZifoPrf3QkmtBjrYHRouqPix2z2kaS sgw7bR23UBJP4/uelqKaSendhpfGYeyZCs1LfSzrBEf9WfYD5g9wOXdg8SFrn6q/X/QoSGof6b/C v2VLfLpbDZzzZCaU9T/kHVo/T/w06p66NHka2gl3xueLRJ/Gt1ww1FPSVFvU2Nw6R/w2iIP6Syyy j/V+4T3/ANweYt9MkKTfSbe2PDiNCR/Sk+JvsFF+R6M4rOGGjUDP6kf5Ojr47BsfGGiYIi6VKEhA gGlYwg4AUAAD6AewSqBRQcOlNfTpZ0mKjRbMoZuLiwuOB/T/AIr/ALD3enDqtfTqBu7rzY/YuFk2 7vvaW3t44KRSjYvc2JostTR+mwelNVC81BMv4eB45FPIa/PtVa3d1YyieyuXimHBlOk/sFAfzBHW 0keJg8blW+XVfm6/5ctPs7c/+kr4p9iVPV284Iyke0t6QPvHr7J0TVlNX1GETITQ1O7MDjK2WiRJ EL5CMJcKqEBgLzzcu62Y2rmm0NxZa1cSRHRKrLXu4aSc54Eivr0Yjcmmj8G9QvHWtRgj8uB6o0+V /UPyS2JuVG+Qu2NxU1KK7LR7YyNbkarcHXUEWWydTlKjF7C3PFPVbdx2N+6rGMGOk+xqIYgqCOyg e5h5Nm5XWzMXLcyajQv5TEgcZBhz+VVHy6O7J7Ro9Nq+OJFe77SOP+TordJRU1E7xArHMLvJSVMJ SQMALcSaWTUCLH9JFrX9jJmZxX+Y6XAjNOlNj6SL0S2FKfIodQomincAnhADGXu1tRAb+je0F3DB dRPb3cEc0BGVdQw/MMCOtjJABwehHwyT4qITUU9HFMSGEUlDGqyHU+oRTRCFomf8l9Y4/WPp7ize vabkHeCx/cn0sxr327mKhPnoFUPr8P5dPgMo7TTrDkqbBbvyMbdjbNxW8DQx6aahzlMk8aRa3vEr ZGOScQS/X0Mq/lSPr7j+T2Ca0cSbHznLCpOdUIJ+WUcA09SOtuyTds8SsPXrug2z1hg81Lk9g9Sb c63+7oEoa9cNVZyvkyIEiySOxy9dVxYuGR1GmGkWKP8ALa+LShyhyYOVreU3G8y3+4ScZZFVNA/g jVakKeJqxJxw6TR2tvE7yRRBWPp0I2HpVZiaVvB5C1qN0vFISSQq3Pie4axsQfp9fYslb+IV+fTh NBnh0oqjHUUqpHUPNQzNpBR4HemUlFYskhvJTPc/61vbKu4NRQj7c/7PWg1fh4dZYtt1eH2vuDJ4 LAHdOWyVRTYbNNEI4ZsZtCoWCplmpHj0tWvlK+n8dTpsywwgG6yN7hv3ql5ku9lsbDaYpP3UzFpy gZnqlCilVz4fEtStaAGgz02rwNcRRXBopOB6n/ivXHXLsDsTL1nXGO2Vtjbu3tm0uHq4ZJs3RyPH n8okUUkUmKpqyBKWXGU8ryf5WqM8k6KF1AFgYN9oLnk3Z+bW3HnDVLLpIgZ1DQRO1SXlR6nUAKRt ppESa0LChtcW8hi1RU0enn/s9F52rhJ8RXU00c0u2KqoqVanrIRUz4s1JLlLvRxvWCRmJGixlUsb WF/eal5c7NzJZCK5tLe/25hUAiOVSPUVqvDotNIzrIJcfZX8ujvbGl7u3Nmcd1/nt15nO7Uhf+9u QaSskamhoqakag+5p9w/aUsq0329IIxHM8RpKZpahVYCRiCrrlTkXboZ7yy5RsVu5QI1XwU7j5Aq 1QB5krxAofLpBdblElq9wS3iA6QDxLeQA6Ru74X3vlcvmsTBUZzA4vVRtuSnmqjlKb9yZRU1lNVT y1dBFU1H+ZonVVih0D9QZiKNttItps7axijjjoPhVERCfMhUAX8xmvn1a0kkiiiF7N/jjZINafYD wxwJ+XQTP/HcKfvKWaR4RJYZGAOoDFCjLX0gLeFwL3a2m/0P09mw8KQaG4+n+Y9GFEemoCvQnYnu DGZCkhwfZWMkr6MoIaTO0sipmMeNOiNqWtn1JVQAf8o1R+3+FeM2PtG+3ujGWyajeangftA/wj9h 6TSWrKxeF6N6eXTVuTAb72jTT9i9V5mXc+2oAUq8vgl8smPhmDBsXvLbdQJfBSyq2h0qYpKKcE6H YW9vW8trcMtnfx6JjwDeZHmjf5iCOqGSGWkNwmmX5/8APpHn6efXXWPyRpoqiHGQ1WK2LmfKyLtj cFfWY7qrMVFSUEw2xuN2rcx0xn8k62eCVa3a9U5AqIYY7+7X+yMVZypli/jUAyrT+JcLMo9RSUeR J6TTWxIOoMw9R8X5jg9PXDU8+rdejeru0e/6Gl3DQ7O3P1yViqMTPvzdlBRYOppqeltFNhK3HXzG D7Y21PIt4hTLksBWwktA+LbShjrdb3b9ncxNdpMtQRGpLZPBgcNE326ZFPEP0TTSRWupBKHrxWtR +R4qf5jzr0fnDfGPYfSeyc7WbZhSXcGSGLly1S0lVR4OnlgeM5FdmbYqMlW0W1qOvqAZvs4ZJQP0 KSLD2GU3273K/gSYkQ9wA4k+mtqDURw1EDoovLqS5UGVsKMetPmaZP29Izx24ufqfqL83t/iD/xH s5r0gwQD12UJ/P8ArG1vqf8AbW961dap11o/N73/ABp/339ffq9bp134z+Te9v8AX/4m/HvdevU+ fXjH/j9OPof8Pz9L+9V61THXXiFuSbXFgByf634N/e6+nXqeXWJqOJx6hz/Ww/H+uPe9ZHXvlXpv mwdLNclAeP6Dm/BH4tf+vu4lYderTHTHU7OpZb2jIJ/pb8/1/wAfbq3LDz69UHz6SddsBHBKJfnj ix/JH0BHIHtSl6RxPW8dIDN9ZU9fTS0lfjqevo5VIlpaymgq6aVW/UJIamOSF1I/BUj/AA9rYNxe NgySFT6gkH+XVaA8OPRFe1/5ZfxL7SmqMhuHoza2Lzk+oncuyKeo2JuJJCGAmTKbUlxkpkUtcagw /wAPZk+7tdJ4N8sdxD/DKqyD/jQr/PqwLrgMafb/AJ+q4O1P5GGz8mamr6v7k3RhZtLfb4bs/b+J 7Cxsdr6I1z1OmC3jEq2sG+9dh9fr7J7jYOU7/UTtb20p84JGVf8AnGxKfsp06LmVBpIUj7P9nqv7 dn8qr5t9IZH+Pdb4oZ+WgjlEWd6N7Hq8Rm5FZ/KxG0t5fwiu8btFHrp48xIrlAbFufZFc+3tvKWO 279GwphLhCjY4d6al/OgB+XT6XgFKqRjoC17u+Xfx1yHWlF2BjsvTUHT27oN07S2x331bk8RjUrq bNbt3GtJXbmNFPiMrh33JvLI5WWNs6VbJVazKxdF0hq+5I5isy8sm0ySxaaa4GWZaevYajFeIqOn 1uUdQA2OPWPJfJPr3f2yOxKTsnoNKrcO7cnDLhewNoVeD3dhdtUFJsnafX+GpJMhl6DMZwS7cgw+ QzEUtLloXr8vkv34ysalQ0YHtz4EoKlRSjVDDIPmB6edOndYardDltTeHxz3JvfcNR1N8id1dQbf xeCyGH2dtfeefrkwr4Psfd2Rw+7sDX4ftJt7beqqLAdQ7exyZvH09TTjL1NXDDStItOVkfqo1uWZ SaFSakYUswx6sAOtgBivdj/Pj+XTf2/N3bL0Ds3YeVrdibmp/kXufZuFx2dwGIymF3JUZ/vTcGN+ Qe4aGOPCZmv2DuXIYXIV+PxmUqRTUlVhrx4tIlSDSjUsmgoHkUogLFRxqmKE8QAzk8M0+XViSI3c +fH88/8APo62Etm9RUeJiw+Ex1L4sRtrDYHa2IiCHTHits4miwdAqgqhu8FCHNxe7H3kPsVdq5d2 iwYjxUgUt/pmGpj/AL0T0QuS8jmtBXo3ez9hpSpGDCRYL/Ytzb6fTn/iPaW6vixOetBPTofMTttY lQ+IgWFjYD62/H1P09kslwSTRur0A+3pUHGKigKv155tzxa3H149pvEPr17HmOoE1AObp+TyAPdw /XgPn1//0zDfZNz6eOfxz/h+Le8x9fz6JfXHXvs2/wBSP6m4F+fp+PetfXsfn10aMgfoN+fwLH/e j+fftfXqD0699mfwg5/w/wAP+IJ9+1nzPXsU67+zP5U3H+Aub8fn/ivv2v59eoOuP2lvol7Wt9OB z/j73qzx68Rnrxo2/wBQf8fobX/2B961/Pr2Ou/sz9NH5/oP9hf37X8+vUHHrsURH0X/AHjnn8f0 +nv2r59eoOvfZH/Un6f0H+t9f6e96+t066NHz+k/8kj37X1r068KQn+zb+t7AD+hJ5sOPetfz69T rE8UcbIhGp5GEcUaqWklkf8ARHHGoLyyyHhVUFj+PdgSanyH+rJ60TTy6Mz1j8Re3OyDT11XjX2H tycRyfxTcFNIcrV073YNjtvK0VYTKPo9SadT+A1rewDvvuTy7sxaC3m+tvQaFYj2L5d8nw8RkLqP SuGxmmyRpQ+Z4/kOrI+t/id1R03h6neOTx6ZSqwVHJkMlvLeElHOaJKaMzSvSGranwuGLmOyBNDs xA1k8+4X3rnnmXmaQ2v1Xg2bNQQwEqCOHe2ZHA860B/hp0bQ2cERGldT+p4/5qdCR8d/lD8ZvkRL T4zqzszDV+5ZHrEi2VuOiyOyt7VbY6pmo6+TEbd3NT0E266WlqKZ1eqwz5KjsNQl0m/so3Pljetk UyX+3ssPHWtHXIrkpXST/T0npZLHLEO9Dp/l/sdHapMEsYYBEJUCyOvIYell0GxUhzbn2TgdMV8+ lDTUQWwaNomVbsttQNrjUP6+7AdV6CTfHyF6I623vs7rbfHaW0sN2Pv/AHJitobN6/paybP77zu4 c2W/hdBHtPbdLmM9QxVEatK1RVwU9LFTo80kqxI7jRZQQK5PXskEjh0PH2Txs0bIGKMVeOwDI44K t6rhlP4929RTquPXrKsAv+lvULlQBybcDi/+t79gceHWj/qp0CG8+5/jOsWS2T2H2v0iaXJJJjsx tPdu9NlVtDXKV/eosrh8jkJ6aawYXjmQaSfwbeyt+Ztm264UtvttBdqcfrIrg/Ihqg/s6PrXlnme 4jW7s+X754aVDrDJSnkQdOR8x1Wh3x/Kb6c7gxVXvz4mb325tWtqDJVJtMZwbs6jyM7KZPt8Dm8X VZDMbEqpXFkWOSsoUv8A8B40+kv8s+68ypGu5Spe2WB40ZUuP9Np7H/kx9er/X323zG13a0kSUHI dSjj/asBX8/29Upb+6Y7X+P27xs3uPZ+a2hmP3fsIcutNNQZylicoa3bOfo5ZcHuiisl9VLMJEH+ cQOLCYrPdtu3q1+p2u5WRPOnFT6MvFT9op6Ho8t54Z08SGQMvn/sg5HTWm46BE8aSQxMj6GiZiI9 QUjleJIpR9LcEH24beQmtKjpQGU5r0sMTn8XUIkFRJDPFwjU1WVE2ox6FkoqhQkiuoPAUjn6g+0s sMiksAQfl/lHWuJqvStp6SOSPVjZBXooYNRtGFyUJOssgRUAqlNmtoGskcp7TliDRxQ+vl/sf6s9 VLU+Lj1mi0MgairF8itrNO8TCQEaRpUAGxJX+xwD70wP4kx1rUOBHSzwdbVVMcdLngI4f7P3CKlY q828ZIKNFdTYG7c/pvz7TyIoJMWfs4dJ5CAS0fH+XStG3Icc0lUHy2QxE1PI0VNj5IVlSWNI2hZ2 kjkhWBSD9LFWIN78FjxS3bULJWhr6ef59J2mldV0BBIpBq3p1nrFw+Zolp1wWXwUTCO1XmIny8Mj IxgllqEqKOP7imknlTmOQSRg2Gq/sCb57f8AKu9Si43PZ4JLlnOp4gYXzwNYyBq+bA6unLe4uoCy i8RyPIEYrnGSeg2ye3MVtnc2Bze89sVVfteOVz9zs7ISUeMzIs3208sTzx0VdPSTBSaeeSGT8BkI B9hJfbM7Y10vKHNV3ZXfFVkIZR6iqlTSnmQx6Xx3cMrgyW4ZhxBOehs2luSjq9k79weFyezKzPdh ZJcacTXUme2RtCLZiUtFrxGDo6vc0wj3pW5GoSOsWpyElPNFcQ6/JIgdXY+d9pba7tJ7q5vIHDMW nEsLVJqQNBkUEeYSi8TTHSKQW8t4JLiOJYEylFJcHyqK6aD1Geg1w0e3YN3T4va2ez+WqaClhjqs ScdLt7sOB/sFlqsXi6DdC08HYGLxVcGhkx9aBVPFGHppQCp9y9ay7hNt8Nxu1hHb3D11BZPFhGcH WoBSoyGAArhh1ucP4dMFAe0tlSPnSukkcKYB6U7bcw+cpDkMNuHH0dUakUMs3hq6TBT5FidWIyhy qrW7Kz8pbT/D8v445SQIKiRbXv40kbaJIyVpXyLU/iGnDr/STI81HVFldMPCx+05A+XqPQjPqOgV 31sWrpZK3G1VLLg8xEmqXG1tLURUMytc6mI9WPeY30ldUbX1D08+zO0uQdLg6o/UEV/2f8PSpJlc AqQy+oz+XQhfEL4r/MTs3dtDm+maar2vsyCqejyu+95fdYjaMFKfG1VT0srwVMm96eZJLNS0lNWU 8l9MhQXIS8x8wct2Fu0e5MHuSKqiUL18v9IfmSD6dIL27sokZbijN/CMk/4NP2162Eesv5efxr68 zFH2dvvZmz94dj0tNR1OUzlfi/4bsOgzNOuuoy23th1tfkMJiZJZ7OHmNQ6OA0fi/SIfvecN7vo2 sLO4kjsmJooNZCPIM4AY/YKfOvQbn3S6kUxrKVhHDOaehbj0Yvcnc1DSBsfs6iXKzRr4hkZ1enw1 NpAUfbxgRz1wQcLo8cZsLMR7L7bZJHpJeSaV404sft8h/h6Ki4z69AllMxnM9UGrzdfNXyk3jR7R 01PccpS0qWhgQf4DUfyT7P4YILddEEYUfzP2nj00WJyT02lCD9P999L/AOufbvWuveP6Wtf+hI/x HAP+Hv1evVzw69oP4AP1/wBsfpyCPfq9e694+fpf/WA/31+P9t79Xy8+vde0fjj6i3+9/wCt79Xz 69w68EP9B9Prb/iPrx79Xr3Xin+sD/T+tv8AWJ59+r17rvQbf7x+Lf6319+r17r2g8fj/kQ+n+t7 9XrXXExg/UCwN/ra4/2/1/3j36vW+sT0kUgIdBf+nHvYYjh14VHUCbC0cwIaNT/j/j/Q8/UE+7iV hkdbrmh6T9Vs+jm1N47H/AHg2/oPby3LDz60TXh0lK/r9H1MkVx/jb/GwA+pt/vHtSt61aE9a0jj XoPdwdWUOXpZaLMYihytDKjRyUeToqbIUskbXuHp6qKaJl5/I+ntbDuTxsGjkKt8iR/gp14pUdV/ 9sfyr/h52jLVV2Y6N29tvN1PqbcXXL1vX+dEgJIlNZtmahWRwx1etGBP19mD7ot4hjv7eG5iPESo r/8AGiNX8+vAyIO2Rv8AV9vVbfa38iDDVT1VT1P3fn6JpA3hwXbm2cZv2jHN0hXc2M/gW74o9Q/U al2HHJt7J7jl3lO+FfoZbST1t5CFH/Ntwy0+WPkR08t1IvlX+Wf59B18W/5R3yA6n+SXV+7ewMXt HI7F2BuJ92Jntpb8nyGCqMpRU9QMV9xsLc2FGbopjWyRz64a+X1xKD/X2G7nkWNJ4ZrfmCKW0DqX SS3KTMoIJUOjlCTwqwp69OtdqyadBDfbg/6q9bJ2A2P4WX9g+o6gSoJ+tzfng3PsZz3la56RBTxP HoaMNtrwpGdKi4UfT/W/PP4HsolnqTnq9R6dLBaBUFgL34t/xANuPabV17j1gko1uTz9bXF/r/Tk e9hqdeqeoUlGQDwbfn+o5vf/AB/3j3cN14nPX//UNaKV/wAk/wC2v+P8Rb6+8vdY6J+ujSyfg/7x 9f68W49+1DrYA/PrwpmN9Rv+Ppz/AL179rHWiOFOvfbPbg/8m/7b8fX37WOtADrr7WS31Fv6W/rb /D37UOrUHXvtCODY/wCAU/7zx79r60R6ddfaPxa1/wDgt/8AWvx/hb3vX1ug/LrwpHBvxx/Ref8A er/T34P16mOHXI0shuAbC3PHP+H4961daA9euxTP+DY2vawFv9jb+vv2odepnrgYLywwRI9TVVEi xwUlPC9TU1ErnSsUEECyTTTSX9KqpJP4971YLEgIBknAA9STgU+fWjT8+jUdYfDXtPsFoK3cKp13 gHCPrycK1u5qmNuSafCq8UVFqX6GqkjIv/m29gDffcrYtpDxWFb29GKIaRA+pk/EPXQG+0dK4rKa WjsNMf8AM/YOrKeovir1f1aKWpw23/4luAAeTdOfEeVzOsqPI9PNNGtPjULC2iljiAHBv9fcL79z nzDzEXjvbvw7In+xiqqU/pfic/NifkB0aQ20MFGVKv6nJ/zDo1tBt4Bb+NTfTqIH1Uj6FTww5+hv 7C2nAFDT9pH2f5B0+TWp8+iu94fF7Yhxe5u0U2Xme8OyRlaKv2rhe3MNv35O7V2nNVVEFNJTdfdB T762jsfGRUiOXiltSrTOfJUVPhvpFmz7tOJYbI3S29lpOtoitsz/ACeco8hPoFqPIDy6djlOoKWC p8u39poT0UXcOI+UOe3FW7c7p2d2jjdr/wByFbBZbfHUb987Femy0hgqdrbG+NvxOpcT1xsPdGHT GRyTNunemXnp4ZI1jnkV5tAsh/cMMKT7bcQNMJiWEcwgkxwd7m7JldSTwjhA/l0rX6cLWNlrXgDp P5s2SPsUdG+6g+Z+NxWA23iPkHhcd1/uLdsG4Mp0thuvcRuTsDO9idO7GoxDkezs3s7rtOyaLroU JoZzVYsZvJyUcMLF2QJp9h7cOV3eWaTZZDNBHp8YuVRYpZDiJXfwzLWoo2ha1+fSeW0YkmElgtNV cUJ8gTSv206P1sPduz+x9p7f391/ujCbz2XunHRZXbm6du18OSw+XoHd0FTQ1cJKOqTRPG6MFkil Ro3VXVlAYubW5sbia0vIGiuYzRlYaSp9CKn7eJxnpE6vGxR1IcHgeiW/ID+XV1D2tSw7g62o4ek+ 0cJi9w43bO6Nm1O4MBh6KDd9TUV+9Jm29tjL4vCw7q31NJHT5Pck9Fkst9gnhRWCxGJIUWg0in2c P5f8X1ZZCK1yOiK9PZf5Efy7dldgYfd+D2vhOv8AZ/YNflcf1qen+y9043tjY5pNec7I6x746wyf Zu4qLfFbQ4+TJZaj33i8xV0piAyeVp6XRUQa7kBAA+ynH5gj/L1Y6ZKaeP28PtH+bo7Vd8xsd8iO md3z/GHCba3d2fhMnSYvc/SXbAx09fuDb1bh3zOTg2VWbO3dV7H7WFXgKqnroJ9vZ3LY+SnLwyul Qwh9lu8PuI26Z9qt0luwR+m/Blp3UpxPoOB4dH/KsGzyb3bR7/fzWtmQdM0RAKSgjQWLK2lK1q1M YNQAT0VTpTtjrun29vbb8nXe08Z8zO7c1T7K2p1zj+kZdqbU6zWmiG19tiGnyuJ+0x9HhqWet3Fl JVlkmq5SUkb0KBG227laxwXcU1gic03beHHEsGmOPBCcR+Gpd2/ERQ8Opm37Ydxe7267G6TP7f7d GZZJzd+LLcAsHkqVYElzpgjXSAoFRxJ6ML0/8StvdF/Jjb+1uht+dh0Mm2utspununKZurocrtjK S58jDdebdrduUeOwtBV1mTydNXZZ42mM0FJSR6JIzIHY/wBv5ai2rmKzj2y8uaxxNLcMWGltVFSP SAB3nU3qABQipqFeYOdr3mbky8uuYLG08Sa7WO0CqfETQNU0mouzaVXRHUUBZjxpiyPsLq/YPbe1 KzZPZu0cFvfbGRQCpxGdoUqYFlKBVrqCVSKvFV8JJaKopZY6iI8q4PPuTbK9u9unS7sbl4rlTxU0 /IjgR8jUU6h+KWSFg8TlWHn1r+fLb+UHvTaX8R3z8Yamt7C29AslVU9XZuqiHYOGpo0Zni2lnZ/t 6Pe1FCAdFHW+HIAAKj1Lm3uZOW/ci2uNFpvyiGatBKo/TbP414ofVlqvmQB0IrTekkpHd9r/AMQ4 H7R5dUmHO123slksBnqOqxuSxdVPQZbD5nHVeKy2Hr6ZrS0tfRV8cVfiq2JxZopgFB4uOB7lPwo7 iOOaFwyMKgqQQwPmCMEfMdHyk6dSGqnz4g9CltXe7iS+qepp1CmTSmmuoo3JCayQVmijUtbUdPBN /ZfcWgpigP8AI/5utEk4bobo83g8rTx1JqJqevIiZcxTqz1aeTQqivgLqs8Mi3GoWOm/rP6fZUYp YyVK1X+Hy/L/AFfl00VYYHDrP/fBcW6QbhpkloaiRY6avEBlxVYoJLq7oFkop1RbGwR1vcqoHvYt vEzCaMPLzH+cdNMBQlDnoSMHnMpTyQ5DY+ZfIwRyRzy7Vq0jmyMZj0n7vGVMJQ5OOKIkjxEVKauU b6FHNEnw3CaTw1Dh9hHl+ePmOmdKSKyXEPEcR/qx0J0mIwHY+OdcJv6TKZiiSaV9tRx17VMWr11T 0Ub/AGf3aRVCamjWESL+pogRq9lTST2bnXaBYmB7scRwr8iOBr9nSSJ2t3Vm28JGw+I0rUHHlXh8 +g0izeb2sklLk6NcvinlK11FXUclXjqtQHsmTojpJZVXSssTJOhHpc/Qs3iWt00M0bsso814j7PX 8+jExpMar2n1/wA3SuotkbV7H2/PDsOomiyVRS1sY64yM4aoqXaN2Q7Vycs9NDmoqWWV5kopvHWK wGgS3JNE3J9vlT69WK1A8QAkf7YUNK+fSV2nilBkAoD8VPLzr9vRbzuBaGup9t9q4bLVc2CrIoMV nqIjGdj7Mq6F9dOcXk8hHEuYp6OdAy4/JNzptDNHYWEXi2xUz2VyoDDK0JjevqADSv8AEv5g9GTR hk127rpYZU1KsD604faOHR1Oq+qu6O781joNkig7doclDUxUnyA2TFh9u5nbcUkatLtLvjDbojix O6KCJWUVWLr4pa39TUrzEAeyK+3La9tic3Ba3cUJt5NRDf04CuUJ8mUhf4gOieWaC3Ehk1RuKDw2 JYU9Y6ZHqDX7eriup/gn13tfbmFqO7ots9jZnDRU9SmNmoqiLr3bFTCWaoi2/S5yprc7PhZnAb7P I1k1DEeIqeNQB7jrcOar64uJF2nxIY2xWtZGHz0gAN81UMfNj0Sz7lKzP4DFEPGnE/bTFfs6MXmO 2MBg6ePEbKxsGR+zhjpaWSCJaDb9BDEgSKGlSGOMzQQqLLHAixgCwYey232aaZjNeSFa8c1c/b6f nn5dFbyZqcnoF8xlM/uiYVG4MlLW6W1w0a/sY2lJ+n29EloiQONb63P+qPs/gggtRpgiA9TxJ/Pp hpCcU6gpTiKwVeAP6ccn625+vt2vHPWuPXPxsfqCbA8EW/23APPvVetY9euPj/2j/bD+n09+r6db r17x820/j/WH/Gve69er17xn/U/X/e/p9LfT3qvl16o678RP9k/n/bf48f1/Hv1evV+fXXiJ/sEf n+lh/UXH5HvdevV678Rt9P8AbWP+8+9VHXq9e8Z/1P1/3kcf8R73X59er17xn66eSPyP6X/ryefe q/s6917xn/U3N/wPr+L/AJ9+r16vz694jexX/Y/1/P8AxPv1fTr1fU9e8R/K/UW/4n+gtf36vWq/ Prrxf1U2/HAPP0P4559+rw63X59d+M8+k8cfjk/k/wBPz73Xr1R14xX/ALFwSD9Ofp/vfH+29+r+ 3r1R1heiikHqjUcfQi9jb+v+IPveojgevFqYHTbPgqaYG8Sm/H0tz/rci3u4lYefW9Xr0wVWzqWa 5EdjfkaQRa9z/UfX28tyw8+vVHWJNmQJyE+hvwAAebjjTb/Ye9m6Y+fXqr6dOMG34qexCAH8E2H0 /oNP490MxPn17HTzHSrEBZPoPwo/PNv8b259taiTSvXuuzCp54F/6H8/7f3uvVc1+XWBqcEfUfW3 Ivcf1/ofe9XXs+nUZ6W4uRc8/wCP+x4PI971Z63Xy6//1Tq/YXvxe/8AiOfx+R7yz106Ksddmg/w H+3Xi31P0+nv2vrVOvfYH+n1/F1/A+vv3iVz16nz699h+LX545W3+9fQe/azTr1OvfYfQWH+3F/8 PftZ69Trv7D63A/24/rz+AePftZx16nz66+w5Pp/3kcD+n09+1nrdOvfY/14H9bi3+N+Pzb6f19+ 19eoB1jSieoqYKGipanI19TJ4qWgoIZauvqZT9Ep6SlilqJSP6hbD3suEjaWV1SJRUsxAAHzJwOq 8SAAST8ujR9b/DzsXd8lPXbwm/uHh2KO1EqQ126qiP62WlEjUGIEiH6zvJKv5hPuPN89zdl20vDt SfXXYHEErED/AKahZ/8AaKR/SHSyOwklCmQ6EP8AvX7P8vDqwXr3ovqbpig/ilPR4rBTJGyVe69y 1tNLmKoRRvPNry1eUaNUiRnaKmEMaqpYiwJEObxzPzDzLI3114Ta1xEnZEM47QasR/ExJ+zozht4 oB2J3+p4/s8ujRYjHUdTSU1VRtSV9FVxQ1NLW0M0NTS1VNURJUwVNPU0zPBPBUQSpIkiMyMjBgSC D7INGgsuijA5Hz6dJ8qmvSY3T231915WSY/M1eYq62khqKnK0+C29lc5Dg6WiokylW+UrqaFcatf HjZVqI8ZBPPmauK7U1HLY2WQ2VzMNSINPzNCa/6uJx6nI68FduA/1f6vy6HDblViNwUEWVwuRo8n jpwrQV2PnSqpZQSEKiSMlUeOQFGVrMsilCAwIDbxvHIUZCrDjXqjVBoePSzig0kKEs3AvYhrmwBA HJv+OP8Ae/esfl1TqVBCJWlijlicwN4KmEOkklPKV1inqolN45WjkB8cgBZWFxa3v1PM/l+3iOtV 9ei24/4QfGrHbp2VuzG7ArMXN1vvGu7D6/2rj95bzouutmb4ycoqcnufbPXdPnU2jg67JVQ808VL SxUks13aEsSSIW5n3t4Lq3kuwwnjEcjFEMjxr8KtJp1GnkSdWOPSg3lwVZTJUMKHAqR5VNKnpWdr dv7e6UhxGztt9W9sb13XuGgydVtPZvSXU1VnqOJhUsKmvrs3Ku3+rtswwZSuE8y5TK0bSNJ5GUhi xT7ftk26M88u4wRQIQHeaXSSR5Ad0rGgoAqt9vpWOJptTvKoUcSxp+XqfyHRQfjV8tKnbva4+P8A 8i872XJ3Z2fuapm2PhM7ujo7tWLDY+joFcY7OYn424eGHpJJmVwKXO/dUrNFqWvLeS4i3vl7xbAb ts8UJ2uCMF2VJ4gSSRUG5NZq+sf2aBxKm4tw0fjQKoiUUNAwz/tvi/L9nVotbjKPLY/IYbJUkOQx WWoqvG5PG1UaT0mRx9bTyU1bRVdOQUqKWrppWjkRgQyMQfYH+3z6LuGfPqoH5Q/ywYshtPsTLfGG XG0Wb3NuTZu8afq3clPtTF0uCqNn1lAFxfR3bqbcXtDpekpcRQ3w2BxWdxGDp670R1GOpKmsEtDH WhQ8P9WD5dPJLldfD/VxHSgwPy03F0T2htLb3yZrd8ZTrndq/wAMw3cneXReI6w3X1D2VHt+fK13 TuV7B68jynXPb2YzdHFow3918eonkE1M+UyE0YD1IGtXZc+TEDUD9uf5dWDP4TxRyERtxUMdLfaK /wCHq0Tr/f2xuzttU28evdyYfdO3cjM8P8Tw0ySmDJUqJHXYnM0zpFX4fcGKc+CsoK2OCtopVMU0 SOrKHQQw1LwPSdtQNGr/AKvTpchf6/T/AFvqT+Sf9h736evWvWnWYILWYGx+hB+l/wChAvx731ro jPzC/l69D/MLFTVu7Mc+zez6ek+3wXbe0qekg3RTCJGWlodw08irR7xwMbWvS1n7sa3FNPTsdXsV cu84bvy3IotpPEsSe6Fz2n1K+aMfUfmDSnS6z3CeyYaDWLzU8P8AYPz61M/lX8RPkn8GNxxp2LiJ cnsKWrWn2r27tRKifY2dabX9vQz1My+fZu4pRES2OyAGtgfA9Qn7vvIXl3mTZOa4qWcmm8A7oX+M fMfxr81yPOhx0K7XcLe9QmM0l8weP+z0D2xu7cBmJ0x+VroNs5Mq3grqiR6fblbMRbRkXhFRLtyv mLG1Ugkonb/OKBc+zW82meIGSNC8fpxYfZ/EPlhvQ9PiVeAz0aylyFesU1NUUSvSLSRVWQx1aiz6 sfKQ8dZLBStJTVVFPf8AZyNG8tI5N9cbnT7DzKoIIajVwR6+mcg+qmh+R60VRwc5+XU6lwdbi2TJ bJmkKakqajbU9SzVUMrKrBsVVks8kiPzGykTAjgSn1DzSpKCl0M/xUx+Y/w+X2dMgkdjjHr0LW3t 7bK3rUQRbufIba3NSFTTb6w8PizlFUQlFiO7MZA0S7jpKaUf8C6cCvhAP+cbj2WT2lxbCsCh4D+A /CR/QP4fsPafl1XTNGrGEhozxQ8Py9D/AC6M5FLQVNLR4/t+ljnxuXiMe2+49tGPJ4fN6ktG+UFM iGudB6Ztaw5CEkrKk1reyQhlLvYEhl+KFsEfZ6fLip8iOkFWUsbSocZZG4j7OmNvih2VWZ/HRdPU NZu8ZM/c0D4eaOrwlbTpIDHX/wASdoKLEinZgzmpanaFuCEeym/79sVhc7g4j04NeI+VOJr8q1+f Tw3OEqRdUUjj6/n1aZ13/L/we6NuYjLfLddvb23DiDSVMFLjKqqpXo8fTxhnwu8t3wyY+p3dSxtY WdE8YUr55UIsAbvmuSCeROXC8cTVB4EE+qJkIf8AVQdE8u6NEzrYlkjPGvn8wPLo3i792L19g6HZ 3VW2sTHi8PTrRYugwVDBhdpYqBOFSnSjiiSpAIJIgSzsSTICSfZQm2Xt7K1zuU7a2NSWOpz+3h+f 7OiaSYuzMzFn8/M9BfmMvuDdUwm3DkXqoQ5eHHwg02MpxwQI6RDaRlH0eUyN+b+zmC3t7VdNvHQ+ ZOSfz/yCnSdnJ+R6jpTxx20KB/iB/vZ/1/buonj1Sp6yafx+eRwCePz/AIce9V6117QPrz/X6c3+ lv8AH36vXuvBB/xXi/N/9b6+/V69/g660f4X/wBcf7H6/T3vr1eu9H+vxb+v+I+g/wAPeq56917Q Pxxf8f74+/V6911o/wBf+v0P+v8AT+t/eut9dhOByfzz9f8AX/23vfWuvaPpe5/2HH9Pz79Xr3r1 7QLDg2ANuD+eP949+rXz6917Rx+b/n6/QfS3v1evde0f74D63ve/9Pfq9b69oPFgTxb/AH3+HP8A sPfq9ar17Sfxf+t7cm3P9OL+/de660f697kf4WP1/wBb36vn17r3j5vY8fW39Of+I9+r6de670X4 /wCK/wCvz79X069+XXtH45tf/EX/AONe/V695/Pr2n/b/wCI55+v+w9+63114/8Ait+bn8c25I9+ r1rPp11o54va4sdR/oL8f7D36vXuveJDa9/8fyLf65/r79Xr3WLwgfj/AGBF/wClhb8+918+t16x mAENb82/FgP9gfe68OvV6wPTgHgmxt9BwTyP9vx73UjqwPWIwOt/SOeOP9gAb8/6/vYbrRI4df/W sMOPF/8ANvx/h/tiBa17e8qtXl0U1PXhjVP9hhzfgAj/AH3+Hv2r59ez1445R/Ybn6+nj6C/0BJ9 +1de/Prs40WuEf8AxuvHIt9efz79q+fXvz69/DRf9DX/AOCg/Q/778e/a+vfn17+G/X0sfxyPpf8 /i/19+19e8+mqulpaFGMoYMAfrY2/wAOfbiAtgcOvZ6Cjc/YFFiop3MwjSFS7EfqCgG+kX5YAcf4 +zG3sXkK1HHqhby8+g86F+aNLJ2fkuvOt+y9vbV7SqIdWE23u+jx9Nh97U1OTLU4imzk1FV1FHmo bF1VkkVovrpQO6Q57x8scx2TWu8yXcj8tSUXTU6IJAKVdFIqjnhI1QGOgkdtT3bVXwCWiAavxUya /PqzPr7+ZS+y9xRbJ+Q/XNRsnMF4oo64CPFQVryWCVGMyE1TWbUzMU99UeispHkH6UPuC0WSOpdR +XRg0YPA0PVmm1Ny9D/I3Hwx46twm5quCgykFPQVgfFbywVPuLHfwvLti7yU2Zx719DZJJqORkcI jaiFWymCdlYGJ6dwJHrQ9NEOmekpmOgO2tmLWZLp3sGrloNrYSoqNhbBr8nNRPVZylxix4/HZx6h INg53D1FfJVNJT11DTyveFhXwTLLI5tBdWb6UvIQoLdzeQUmpOM19OIrxHVlkQ4kXPr/AKs9KXJd vzNganaXyJ6oz+A/iGQjoaSPZdUdz0OUZKSlFNmxjpqnDZqCOLNTzBKk0dbh4ZqeNpZlZWjjtFaK ZRNt90poCxDHTwJxXgcUqK19AetCPuBicVHrjoUNm9M7Km2BgavbO5stMtNhKyPafYO2Y8Nj91w4 itpqOnzNHXZDaCR0e9spkGxbRVslUKipFW0vgaGcKyalvpvHk1xKAzAtGxNDTge74V9AoFRxr1Rp WDnUoIrwPD/Y6T2zd6bMFFnOk90bj7lwFfnPvKDG713plBisxWzyYxpMhSYPc+LrstJs/MY1cTLL JSVzo0Ms/iZlnn+zVTJDcExbhBFAyhqlFyBnGpTSo4U/1HrbK2JlC48h5faPOvTW2yexujKrd26e ktlYHc+A3Fjl3BXZXcNacpvDcGWNbV51aL+F4v8Auom3NkV1VuCqqNVLDkKqilSRlohHOZFcW5tL 9YI9wndZFagAAVQKAaqkGrjTwNNQ4tinWw6S6RM5BBpjh/lz/qr0ZDrDt7Ddm12dxMeG3BtrcO3a XHV+QwebxtZFNS4rLRMaGpqq9IFxsVbLU004akeRayOFFmMfhkSRi24smtUikLq8T4DKa1I4ggZr 9lRnjWoDMkTR0NQVJ6E/JYzDbqwNfhsrTUWe23uPGVFDkaGZlq8VmMTXwPTzwyGJzDVUlVTuVurE EE2PthWlhdZIyUlU1B4EEdNqzKwYGjDpEdf9Q9U9G7brMR0/1XtDYuJipZqubCde7XwmCq8zNBCX EMksKY9srlKwxhEesqTrlZdcig6grvL+/wBzmWTcL6SWWtAXYsAPsNcfIdXeWSZg00hZvmf9VOiM dtb5+XnyQ2JufanXfQ9Z8V9i1cbNVd6/Ivuis6t3vt2PHziSHObb2J0jl8vuWjlpaqBZVXMZygoK pBomjlhdlIs22z5e2W8tri93YX90CKQW0HixtXyZ5wFNRjsRiK1FD0rjS2t5FeSbxD/CoqD+bAD9 g6dvhh8i9wbl31ub4+7u+U3xk+WOT692zi6x+0Ortxwbf7OmyMkv2lTht69cY9M1s/N+GoVwMzhc wHGlUq6FJnLszzJs8MNrBu1tsN7t6zOf0pF1RBeIKSdrr/pHQjiQ56pcwKI1mSB0qeByPyPH8iOj odzdE9Z9+bVk2n2dtqPMUngrIMRmaaWXGbk289fHElXNt3PUpSsoFrBTxLV0xL0OSgT7etgqaZnh YFnSwAYV9M+XqPs8+kisy5B6JR03/LRxHQXde2eyure/Oy9v7RweQlr8psxqPFVG4d8Y2bEtjz1r vXd0UlNtvN9O0NfpyWMxLbaXKYOuMi4zJ0lLK9MaiNVYEMaf6v5dWMhZSGUV6tAQcG/Ivaw/P9AO eLf4+3Omvl1kDLb6EAcn88/2R/j9fe+vV65pYkfi34/J4/3n37r3+Dpi3btHbG+9uZjaG89vYXdm 1dw0M2Nz229xY2kzGEzGPnW0tHkMbXwz0lVA9h6XUgEAjkA+3YJ57WaK4tZmjnRqqykhlI8wR/n6 8rFCGUkMPMdauXz2/kPZPDPmu1vhAavJ45RV5LJ/H3L5Y/xPHLZpJv8ARbuTJzj+KUqqTpw2Un81 l009TKTHTieOUPdtX8PbuaRTgBcAYP8AzVUef9JR9o4no7tdyUnRdGjfxf5x/l6ol69+QPYHRmdq dk7725nMliNr5iqx+V2huNa/bm6Nh5VZQlauDrKuJc1sjO6lbyUksUlFUEkVFMwNzLd3s9lvEK3d lOgkkUEOtGSQeWoDtcfMHUPwno48atSx7fIjII+fr1Z1sXO7H7b2227euM9TKmNihmzH3cSYus24 0pP7XYu1qQzttpJZ/THncYKjbtQ3Mq0R1kAO7hu9unNtfRGp4UyG+cbmmr/mm1JB5FunBKAQr+fl 6/YfP7Dn7ellR7Druws5SbTXbuZPYUrRLhHwtC+Sy+QkkRnp6ylo8b9xHnsdIpBSsgZ1MXIm8fHt K14lnE05mX6TzqaAeoJPwn5Hz8q9bdxGniBwIRxr1cD8Tf5fvZW2cZWV3yE3Vi6DaOSUTZHrXHvH kVz0CodFVu6qq1bEYGuiUKyy4+9ctgGnisYxHXMHN1lcSCPZoWa4HCQ40/JAMsPk3b8jx6Ib7c4p Cv06fqD8Z4j7P9nqwDE7r6w6mwMey+ndq48UNGXsmKjamwq1ZAjkq6/KyeWtzVa5QB5dUrvYAyD8 Bj937juMv1e6XBBPrlqegHBR+ynp0STXDyMWkYs/SEy2Y3LuyXzbiyck9OG1RYumH2uKgv8ARVpE 1eZl+geVpGP9fZrDb2toP8Xio38Ry37f81OkxYviueuEFLHEAERV4/AsP8ePxa/493LkjJ6pQ1z1 L8P+tyf6/wC9290r1avXQhI/I5B/N/8AiPfiet1Hp1z8RsLcf7D6/j8fT36vXq9e8DDnjj+o/wB5 tz71Xr1fl17wtx/T/gv0459+r16vy694D+Pr/rG349+r1qvXvDf88A/0/wCK83F/fq9br17wn+n/ ACb9DY+/V69Ude8J/wAPof7P0N/+I9+r16vy694De3HB/A/2/wCeAD79WvWqjr3hYcXHAseP98Of fq9er17wH+t7f4X/AN9x79Xh1vV8s9deE/Qkf8k2/wBj/sffqny61q678J55F/8Agp/rwR79Xr2o ddeFvr9f6Ej/AHj+v+t79Xy63XrvwE3B/wAPx/vPv1etV67EJ/wvb/U/j+v+w9+r16vXXhP9Rx+d N/8AYf0P09+r16vy68IT/wAT+ng8/Uf4H8+/dbJ+XXvC3+FuPx/X8n+n+x9+rn59aqOveE8X/wAP x/vj79Xr1eu/Cf6j88WP9efx/h79Xr1fl1xMJP0P9eLH/Y/61/fq563X5dcTAR9bC/H1P++I97r1 qvy668RP4sPxc/63+uPfq9erTy66NP8A2h9f6fUX/wAL/wCv79X169qzTriYCPqPr/ifzzza/uwP WmyRTrF4mFuLm5ube96h1UCvX//XtBFEL/S/1vwfz9f6e8otXRPnHXhSL9Bx/t/ftXXs9eNEPzf+ n+HPJH5PHv2rr3p12KT88gfjg/7wfftXr17r32fFz/h/X8/65971fPr3XvtOOL8kc8n8cn6+9auv fb0hN24/yU0gVSWF/wBIF/zp5/p7VW79wz17ok3ZG2MtWGURRyMtmVk9XqVtQtdSvNj/AF9iuynj ULnh00agtnqsvt/4m0e5sg24cTNkNt7pp54q+jylJNPBUQ1tLKs1LV09VDNHPSVNNKoeOWN1dGAI It7ETTWW4WrWO4QLLaspUggcDxHzB8wcdGFtuU0A0kBo/To2nRnzmy+3sZQdFfPTake8dlCP+HYX usUvmegiJEMR3WkNNK2PqCB68hAppJW9VRDGxeoOPnO3svND427cmH6izrV7Rv7Rc5MTYqoH4DUg 8K46O4LqG4oVJBPl5/l6j+f29Hzg6m3vsKhoeyvi12BHvvYOmLMUOBGTlq48ZSSgTwSYfIY+pbI4 Hj9E1HLJRMf91vYj3j69vR3i0FZUNGUgqykeTKaEEedfPHSkH9pH7fs6PZ8bf5qecxuQx2xu6cfV 1WSSZKRqLcjw47dlksokwm4Vjjw27giD0xyLHXP+UXk+2f1hUUBX+dPP8umzEp4GjdXUbK3x0z8h 8FR1OFrMRuuHGV0WdixOQQ0e49s5qmp6uigysVJ5UyWIydHT188CVlLJYRzSIJSsjqVEVyyM/gS6 XIo3lUeh+Rx8uk5DxE+VekVUfHLdmystSZPoHeq7DRjRUc2Ey/3GR2xLTwrOqtuXDyirXdVAsrCS XV4M3NI/ipMpi6cSeQ0XcI5UZL+38UEcfMZ/Ca4PpWq+ZVj1bxg/bItf8P5f6qfI9JvdHYfSXeG6 cV17urbG7KOSqzm2cHtHtSXAwYKmyW7I3mz8e2ETNxVeQwFLUtg3DYrPww1GTpZytPSzU8stR7ch gu7OOS5ikRlCtqjGdK/DU00hmAYdy1AJFacOtqssal0YedR8v9XmOuWVn7e+N2TWbHUVTvjqmqz+ z6EQquHhxuMqN27ilO7azH4+mqMfkusabF/dBqBJmy23mLkz1GMhEccd1Wy3COkreHcojkkDiUA0 14hv5Eeh62PDmGTR6H/Y+35+f29C/T13TnyT25UbYnlqWWVNx12e62h3VPt+fKPBWvgMllNwpsPO LTbywUWTSNkrqarraEyTwvIfK0ahkfXbY/jJQkU7tIIFRUAah2kjgCAePl00RJC2vgfX/i+H+Hot OL7Q358VN4jCdjde/adWZupNBSZXZk9FJhKzJHJUeBwVfsTbEMgqn3HnZq+jqM9T5KSkWKnaesWc vDJDMZG0h3WESQXQ+uGArA6iKFmDHCgADsK5NKEUI6eMazpqR6yDy/w1Pp6U6PtsfsnY/ZFPkajZ e4qHODB1dJQZ6KkLmbCZaroYq/8AgmVUoEpc1RQzaKqmJMtJOGimCyKygjntp7Yqs8WkMKj0Yeo+ R8vUZGOkroyEahTpOdv/AB96U+QFDt7Fd19abX7NxO1s0M9hcRuuklyGKpsmYvA81RjfOlBlIJIQ A9PVxz07FVYxkqCFG3btue0PNLtd48EzqVLKaEjjSoyPtFOrRTSwEmFypIpj06po/mDde9m9FdeH tre24Nnbf6b2N2ptLbXSHWHww+PXV/WvYG2KrclXUYfa+69+/JTud974foPC4hZfDlNxYXGY+nx/ kQpJGJAqyNypeWO63SbfDC0m4SW7PPJe3EskbBMsEt4fDaY4qqOzkkVoadL7aVZG0qpaQr3F2JHz oopX5A9Dr8Ze3N6dW7y60rNy/HLF7lw/zC3lT7NzPffxy+RW7vl3jcPvvZm1Jo8flu/6xOvto7F2 suRpMVLTV2ewTikbIRN9xD/uxSfd9vtr23vFt95ZZbBCyw3ECWzMjPkQ0kdjQkEIwDaTg8R01MqO jaZzWMfCyhcH0yf2HqzLHd99G5fs+XpbEdxdYZfuCDEVmdqOr8ZvnbeQ35T4fGyxQ19fUbXo8lNl qeCjedPJriDKp1EaQSAjJte5RWa7jJYTLYFgokKMEJPkGI0k/YekXhyaPEMbeH60NP29C8gP1vcf 7EWP49Nr+0PVSOuYBP0uGHPINj9QT9fr791rrKCL+pWDDm/9b8/X68W9+/w9a6ylgPzf/Ae99e6x H1fqAH0sfz/X+psPevt631Xj83/5anx7+bOHq6/deHj2X21DjxS4Pt/bGPpk3EFp43Wixe7qMeCH e23IHItT1TLUU63+1qIGJJGHLHO+9cqygWspksK1aFidJ+anOhj6gfaDw6WWt9LbdvxQ+an/ACen 5dUefGf+Q98kdmdyZTcnY/dWE6b2FsTOMuE3p11kjmd375xCIZXrcHRZEQYnaOGr4ZPFVx5pKoh9 aNRTxESGWd792dmvdsjhstqe4vJkykooqH0NO52HEaCP9MDjozfdoEjpFHqZhkHAH+f8v29bAe0a rpHofFf3Z6S2dQZTJASJWZ6GKKOCeqk8ZrZ6jMrAgeKpqk870eNihx6yuxiSK9vcUS2u7bxJ9Ru1 0Ui8lPH5UHlQYqxLU416Ibi7klasrk/5OmnL5ndW9JhLuXKSTUofXHiaQNS4iG12UCkVyZ2F7a5m kf8Ax9roLe1s1pbRUb+I5Y/n/m6SMxJz1IpaSGBVVFAtxZR/T/CwAA92ZieJ6pk/Z04Iur0j6n+v 14+p/wBf2yTx9OrUA8s9TEUKoBAut/8AH/EG/PPuhOevEE8OHXM25tYckfS/+9e9dbA9R11pJ/B+ n4t+Ppzbi/v1et0Hp16x/wAbccEk25v9Pz7916gz1kVGP5Y/Xg/63+w96JPXsenXvGw+t/rY/T/D 6m/+H+Pv1evUHXZjP0J/3n6fW4Hv1fPr1B6deEbfW5H5vxybf8U9+r17Hp114n/1R/24/wB5Pv2r r2Ou/E1+CeB/h/tv9f8Aw9+1cOtUHp17xt/Uj/YD+v8Ar/19+r1ug8x14RMRe/8AvX9bfS/P+t79 XrWOveMn6Ek/045/43b36vW8enXWhr2B+n0tz/sPrz/r+/V69jrvxN/qm5P4/rwf68X9+1cevUHp 1142ubk2tcfT88m3v1evYHl134zx9R/iLcX/AD+be/VPr16gz1142F+T/thz/X8/4e/VHXsdd+Nv 6k/S9rH/AFv9h79XrVPl1142+tz/AEP0/wCRe/aj1ug9OuXjYk2b/Af7f/X961daoPTrrxmx5/24 H+B/w/r73Wh63j0678bf1+v1t9P6/wBeLj37V17Hp1x8bcc/6/0/H+x4B9+rx69QenXfiY/Ug/W3 0P8AU2vf36vXh1x8bW4AP+AsvFjf8n36vXsdcQjA2/p9Tc3Bvx9OeB73X59eoOu9J+p5/pck/wCw /re/vwOOqkZ64lLgcWP9LX/w/P097DdeofTr/9C2n7Rv6Lzf8j6D/WI95N6j0T9e+0Y/VV/2/P8A vre/autV699o1voLf1/2PP8Ahx79q+fXj177Q/0X8fU8gD/Y8C/v2rr1evfaG/0F7cC9+bf1/H19 +1db699ob/pU/wCxH0H+Hv2r59e6wy4yKZSrxIQwF7gH/Ycjj3sSEcD17pI5bYGNyIkvTqHI54W1 z9ACP8D7UR3boRRutGnAjoE9zdKw1CSFKWN+H9JUXHFh/h7NoN1ZSKnqhUeXRXd7fH6nr6eelq8c lTBMGWSGohWRGUgr9H+nH4Fh7PrXeKEMHow60AyEFeI6AHZ+2+8PjBm5M70DumbFY37p6rIddZzz 1uzcmzkPMtDTK61GAq6mxVpKN40kJvLHL9PZTzHynyrzmPG3S2MO60FLmGiy4FAJMaZVHo4qPIjo xg3SZMSjUOjc4H5F/HL5MmHYnf21k6N7XrtNLTT5aOnXbedyHIEuLzCRwYuvMkq6lUGmq7n/AIDs fV7x65r9tOZOWg92YhebMK/4xACdK+s0Xxx/NhqUca06O4bqKcdh/L0/PoQocN8kvirlcdurYGcy G+9mY+SKfF1tLlpP4zj6NBeNMRuaJpZykcNgKavWdAPQDCDq9xu8CSLqRQR5Ef5x0p9Rx+XVyHxI /mtbB7PSk2f27P8A3f3VTrHT1OWkoBjq6EkmPVuPbsZew1izVuOaemY/UA6j7ZWR4yA4qg8/8hH+ XpNJBUkx8fTqybsjpvqv5EYLblbuAfxelo6umzO39zbcyVPHUTU6x1l6EZDwVsFbg6iSuM0lMyME rYoKlfHVU0MkZnaXk9oXa3YVZaZz6ev2Z/McCR0wsjwsaYPQRZTeu9/jhU7V2ZitiKOnMTncZtDZ +Wrs/UbgyeY26drZLcWeym4+xNybwootj7gx2agqIKSkzNEcbWQwJDBkDUVNNSQqkiivy8wlP1RT UwoF7tRUBVFdeKE0oRX0FenNIl1HV+ocn7fkPPpIR9edM9/bN/vd8dcnjdl79p8LQVdFhqXN5Tae T2KuRrJdwy0VRg9v5CeXr/LzZas1yy09PPBHVQlY1hqGapiVG4vbOQxbgGkhJyaag9ABUk/FQD8q 8fLqzPLE2iYVX/D/AJ+nTbve2V2Tnc11L8lnps6mTzmJxE+4aihwNPi8bBvfFPk6DBbkwzUeEps5 tDG4dglbnsbFlsZQTSvSV9dLPHLItJLJJws+20SiFiobPb5jNaniFajHiopTrTRBgJIagfn5f6sA 0Pp0Z7qnHdUVFDmN49ZGhyEW6amlgzWcjlr58jKcGlScRtypiygSvwOL2tT5WRMfhxFS0+OgqD44 E8rFi+4kuSUhnJqgxgDj50HmfXieOemXLigfH+r/AC9C5ew5ubc3A/29hzz7TdN9cZqanq6aopam GKqo6uCWmqaWphjnpqmmqFMc0FRTyq8M0MyMVdGVlZSQQRx72CylWU0YGopgj7Dx696dVVfOr+Xx kO5Ope0Yujt29tYrLz7HqqTYHxg2H3Yvxl+OOb33M6I+5t3ydZbKg3FkquqRvPU01dWT0FbLTrGV p/KZ4xvyvzUm27hZNuVtbyJ4waS4khFxOEHFVEj6PKgIAIrWppTpXb3IR18RVpXLFdTU+VTTqtHp fOdZfymemd0ZHbG9e0O7e0PjPv8A646j+YnWWE6p6R6f62xOa7doI6zD9v5neud6kxfaC9M0stbD SU27hncwKqcF6sKskiRDXc4r7n/d4RLBHa2F7HJNau0k0zlYagxKiytH4xpUxiNNIpp4glQ+u6dd QojglTViceVK0r8qDq/n4y/KLbHySo99UdHtLeHXe/8AqncNFtXsrYO8qKB58Nkcrioc7t/M7X3d hZ8hs3sbYe78JMtbh87hq2po62mPqEMoeJYp3XZ5dqNqxuI5bWdC0boeIBKsrqe9HUijKwBB9RQl DLC0RUkgqwwR+zPoRwIPRoNJ5BNr83sL/T/H/D2VdM9cfW31IFv7X50j+o9+/Lr3DrLpWwsRf/E/ 77n37HWuumdYYnklkSOONWd5ZGVURFGpnd2IVVUXuTYAD3sKxNAM/wA+tdF43v8AIvbGCebGbRiG 8c2haJpKeUx4KimFx/lOTVGFWyH/AHXThyfpqU+xDY8u3c4WW5Pg25znLH7F8vtP7OmzKgJAyei5 5jNbw7Bn+53Zl5ZqXWrw4SlD0mFpudQ8dCraZ3QG3kmMslx9R7E1va2W3qRawgP5scsfz8vyA6aL MTk/s6dqLH09IuiNEFgBwoF/6Hi3A96d2Ykk9eFABTp/hHp+gt9PTxxx/ibe2D1Q8epYt9Bf9XHB +n+x4A90bqynj1NjUG1/rYfS3+t/vPts9bJOfTrPa7W/HA/obf4HkX91P8+tjA+XWVEFj+BwQDb+ l73P4HutT1avWUKv0sebH6+9V6r11p/Fr/7f8W/x/PHv1et9dgWuB+f6/n+oFjx795der8+shQca fryCPzYjj6A8j3qvWhXr2g8f7Gx/xP05t9b+/V691x0Efjkj6H+l73+nvfW+uwjf63P+N/x/Qfm3 v3Wuu/GfpY2+oI/1zx/U+9VHW89e0N+BwBf/AGwvzYe916911oP9Of8AWPHI5+lj7117rvQ39OCL f0uR/hbj6e91+fWuvaGP1/qTb6f4/W34PvVade68Uf8A1/z9P6/61z79Udb68I2/I/ob/wCtb6W5 H19+r17r2hvyP+KH/fH36vWuveNv6cWF/r/h9fzb36vXuveNrXFgfr/vX+2PHvdc9b49e0G1v8Tx /sLf0/A9+6114o39B/Qc/gfUX/B96qOvddaGN+L3HP8AX6j6fk+91635de0G34+v5/wt9D9be/VH Xuu9J/2I5JF/rccWtz9Pfq9a66MZJ/r9fpcf7fgc396r59br12Ea3+N7/wCJP+29+r17roxmx/oQ P6/1/rb6W97r1rrrxWN9J5+h5P15/wBv79Xy631wCD/X/pb8f1/Nvr7317r/0bkfsuPzx/hc/T/X 95JauiitK9e+y/1/6fQ35/5C+nv2rr1adeNEbcA/7b8fkfX8e/ah69e1de+yPIt9R/T/AF/9q/F/ ftXXtWevfZNyLH/Xtbj/AG/9PftXz69Ude+xP9DyP6fQ/wDGz79q69q9OvfZH8A3/wARz/rfX37V 16tT177K/FjwL2tf/W9Vx+T79q69Xri2OEg0uupeLXUf6/5J49+DUyD16vSeyOzqGuRxJChva+pA P9seefb8dy6UIPWia9BHuPqGmqVkMVOjXuQugEcD/W/2Psyg3JkI7uqkA+XRV+yfjZg9zUdVQZzB 0VfTzI10npIpLcg8HxnSQfp+QeRY+xBZ708ZqshH+r+fVVDI2qNj0GGy8t8j/jJJ9tsDNy9ldcKQ tX1lvernr2goFJZ4NvZqo+5qKZY0uI6eqWppx9FEf1AU5g5A5W5o8W6tV/d+7tnXEP0nb1liFBnz K6eJJB6MYN0kjIWZQUH+ry9ehmx+W+NnynqUpsbLV9I920d6lNs54tgMglei81WGropyZIjJwJaS WeHT+pk9S+4E5j5K37liRv3rZE2R+GeMGSBv9sBVD56XAI/n0cwXUU6gqw/1f4ejMdMfK75S/B3N QYXfFPX7/wCr5ahDLUJE9dHPTEAfeVNLT6YZ3WIKfvKDxVBFtSS2PsG+CVbXExof2H/i/Xp5o1kH cPz62Hvjn8qunvlHteKv2Pm6Cetq6BxlNpVtRT1FX9u6eKtSJOYsrQqCUlXQJFFxLGv5tHJVtJJV x+38ukckTR5OR69B72p8Z94Hs3a/Z/S9Tg9nvtzGVFPXDG1Zx2+6xXxu4KSmxG2ctlNr7t25jdqY tqyBKfb9fRZTAIJZ56XH0mQSCrJ7a7jF9NPa3gZw5WlfhBqtSwFDUgDuUq3kSVJBcSZShSUV/wAH 58P28fnTpG7L+Ru0O2ttbc62+UnW+e2ZvirodsJBVVe3sjHQ5Tc+XeqxNblsLDh/4hmevXx1XEy5 CWskTH4w1S0dXVLJIaZ3ZdueBprnbrhZLdXbzyAuk54Ak1wAammoAjIs0LRkyQPVf8H2+vTBDtLt n4cYOPcnXW4d3d6dc1tNhaOn2Ou3dy7wr8hVQS5HJ5Wro6TDVqHrWuymOkIFbDFmqWqr1hoafFUE LU0QuHt94lKXCxwT6q6idIAxSooa8M0KnOpicnrbOlwQrqFf14f8X/qyerI9uZGvzGCxGTyeBrds 5Kuoaepr9u5Opx9ZX4WqkS8+PqqzFVVbjqmSnlBAkhlZHFjwbgELaAzBHDL6jgekZFDTp908hhx9 Lre9jx/sfz71177eshW3IH4t/X/jXB/3r37r3Rce7/iF8YfkrUUNZ3x0X112hkMfRNiaXJ7p2/BU ZVsM1QKtsDV5SnNNkK/bz1a+U4+oklojL6zFq59m22b9vOzFv3VuUsAJr2sQK8NQHAGhIqM06cjn mhNY5Cv2dGDwmHxW3cXisDgsbQ4fCYTHUOGwuJxlNDQ47FYrGUsVDjsbj6OnSOCloaCigSKGJAEj jUBQAOCx3kld5ZXLSMSSTxJOSSfU+Z6aJJqScnp4/Vzbn/H/AA4t/sfdePWuvWA/sj/X+v0/rf6e 90Pn16vRe+xPkbsfZElRicQx3jumIyRNicNLHJSUNQAfRl8sA9LSFG4aJPLOPyg+vs/27ly+vgsr L4NufxOMn7F4n+Q+fTckqxipPRRdz7+7B7Qdl3JlfsMK7ho9uYYvR4kKvIWruzVWTZb/AFmcrf6K vsX2m27ftlWt4ddxTLtlvy8l/LphmZ6AmnWXEYilokjEcagqPoFWwAA4A5/Hu8krPWp69w6WVMBp WwAA/wB5J4t/re0x60f59O0Q1cf1t/vj7aPWxwHTjD9L88n/AGAtx/re2z1U8epi35t+B+P6cXP+ PujHhTraUqenCFQbXIHB/H+x+pP9PbZ62fOg6zW/sgjg/wC34ueD7oT59WHAdZUTUDe/Btb6fUfj 6Wsf9h70et9ZEFja3+F7fji1vej1rrIFBIOn8/X6/Tgf1Huvrnr3WQR35J5tYcA8f8Vv79Xrxx1z CFb8jm4+n+t/re/dar8uuWg3tf8Aw4/wF/px+B715der13oP4v8AT+luPrYfX6n+nv2OvV642P8A gPybf8iPJt7916o9OuxGf8T9B+foOffq9er1y8Z/x/1v62/178X9+69XroITcf71f/bcW9+/w9ar 1142J/J/3r/Y/Q8n37rdeu/Gf+N2P+2HP59+69Xr3jb/AG/A4ufrf+vv2OvV6942J5N+fyLD+lj/ AFvb36vl16vXjGebH6i3+t/vr+/VHn16vXvGbC1z/sP+Knn37r1eveNvrY/4gD/ivv3Xq8OvaGtx f/H/AGP9Lce/dar69deNrEc2P0sDZf8AWF/rz791uvXYjb/H8fQf0/3r37r1eveM2+h/23+88e/V +fXq468Y2+pP0tbj/jf1Pv3Xqjr2g/m/9Pp/vrX9+69Xr3jb/G4/IBt/iLfke/der8uveM/0J/xt /vduPp7916vXjE34/P4t/wAb44/3n37r1esZhseSf9t/t+B/vh73Xr1ev//Su2+1X8cc/wCH+xH+ F/eReronr8uvfarYcf63P+3/ANb37UfXr1fl177VeOPqfr9bfUfX37UacevV+R699stwf8L/AF/5 Fbn36p49b/Lr32q/0/N+T/h/tx79q+fWq+dOuvtV/oOf8T/QfW349+qevV+XXf2y/wBPoPwbf7b+ vvwY9br8uuvtgOTcccD/AF/8fx79qPr1qvy699qP6D+v1/3n/Ye/VPr16vyx139sAPp9b/n+p/3r /X9+r16vy66NKpuGVWFrEH/Hi/H19+r889er8s9NlXt6hrF0yRR3P9L/AJ45Fr/j24s7rkN1r1x0 G2f6uoa1XKQqSSfogta35vwfr7Xw7gykd3VKeo6Kz2Z8ZNu7rhZcth45aiFvLSZCnRqfJUVQvMc9 HX0/jqaaaNhdWRgw/B9nttvB0NC+l7dhRkYBlYejKagg/MU60NSnUjFT6joOcJun5F9FwPhqxF+Q PVK8VG0t3tEu8sXSBWUrhtwzp4ci6Jawq9MzWsagCw9gTfvbjY95LXfL9wthuByYmGq2cn0A7oj6 aTor5AdGkG6yxhVnGpfXz/P/AGOl11pktjby3F/f34rb8yvUnbmJmir8z1buaWXb+VNXEQQjUMkt MtQPImmOqgaxHEczfQwjv/LO7bBc/TbtYNC9e1xmJ/mknwn/AEtdQ9OjqCeKdKo4Yenn+Y9Orsvi z/M1psplKHqP5WYw9cdixFaKi3XWxGnwOekXRHFJXOsaQxvNfmpjVV/Msai8hINboSstSn8Xl9h6 bkt61aPh6f5urFu0ekevu58euRqRBRZqrhxNRQ72wEdFJXV1Di5Z6rE0eQrYSDm8EPvqhIws8VVT Q1tT9jVUks7ykytb2aD4W1RfwnIzxOceQ+VQKg06ZSRojj9h6KNs3J9wfECmx+3txbPp9w9PYqLe cc9VtyfA0SY2gj3Qh67o9jzVWW29SVOazePytQarAGiTJ1mVmjpsbBUor1JNLhbTdZJJVmK3LaaV qKmndWgbz4NqpQVbTw6fYRz9wej44/z/AOL6sdwtcuZxWKy8NHkcfFlcdQZNMfmaCfFZmiSvpIqp aPL4uqVanG5SkE3jqIJAJIZVZGAKn2RuuiR0LAlSRUGoNONCOI+fDpIcVHmOnjx25LAH63C/S/1/ x9161nz6yryo44HH9Pzwfpx7917j13a9x+fpb/D883t78SBx691k0/Qk3twOPp+P9v7sBUV610D/ AGV3l1/1erU2ZyZyO4JI9VLtbDGOtzcxI9DTw+RYcbTtwfLUPGLcrqPHs423ZL/cyGgiK29cu2F/ LzJ+Qz028ioMnPRFd9d4dldpNLRed9nbVlZ0/gWEqZUq62nJNkzOaVYqqq1rw0UIhgP0If6+x1Yb Ht22aZCPGux+JhgH+ivAfaan7OkzzO9Qoov8+khhsFR0SIERV08AW/N7/kEC5J/2/tbNOznj1pVp npd0qgEKFC2IAAA45/2I+ntG3VvMdPlLa4/17j+n0/P+FvbJ62Tg9PtODb6cG3+x/wCNW9sn7OtE 16dYrcAfU2N7W4B5ve309tt8utZwfLpxj4AHH1Fhx+LcDg/UH22ePXjnh1NUcrx9T/twP9h7bbqy +fp1PjICfkHi5/N7g/W3ts9eoSepIIP45+pI4+n9OOD7oerAUGesq3+o+nN/9b8f7AW96x1vrMi3 a3H05vf/AA/3j3rrXUlQAV+nB/w/r7qfPrVanrOFDC9hwR+Lf7Hi/HutR17S3XYS54sbf69/9v8A 4X9+rjqvXej8f61vr+fp9ORb36vXuu9IH1v/AIEfT/W5/ofeq9bAJ+zrsKp5/wB6/P8Aj9Db36vl 1vS3XtINrX+v+34P+H59+r17SfTr1lH5N+P9c8fj/H36vXtJ9OvaR/j/AE4/w/4j37V16h69pB/P +8cf74j37V17S3p11pUD6m39b3/w/A4t79Xr2luvaV55PP1+nP8AvFvfq9e0t6desv8AU/8AEf74 W9+qOvaW67KrY8n/AIrz+LW9+r1rSfTr2lf8f8T/AI244t+R79q63pPXtK/Xn/bf6x/1vyffq9e0 t17StjYn6/77/Ye/V69pPXRVfzf/AAPH9Prb36vXtJ9Ou9A/qf8Ab8/4W/I9+r17SevBR+L/AO2/ 3v8Ar79Ude0mnXtI/r+T/T6fj/X9+1de0nr2lePre4t/sfz/AEt79XrWlvTr1h+bj/Yf1tYe/aut 6W9OvaV45P0/3n/bf4+9VHDr2k9e0i31P/Iv9h9Pe69e0t17SpH1/ofp/j79Xr2k9f/TvW+1FrAC 9wLf63+8e8gtR9eiugr8uu/tf8L/AFBP0/2IHHv2r59ex5DrxpRYen/iP68fm/veo+vWsddfaAfW 1j+P+I/rbj3oMfXrZAoMdcvtVA+l/wDb3/2/4HHv2o+vWvy66+1BuLfT/Yfi35t9be96j17HXX2g +vBP+H+8/T8H3oOfXrZp6de+1H0AF7Ag/wC+Hv2s+vWqCvDrwpCDcWv/AMb9+1Hqx0+nXf2i/wCp H0sRfn6+/aj69V/Lr32o/oP9f6WB5P8Ar+/aj69e/Lrr7Uf0H+t/rf7D8+/aj69bxTh12aUMOV4H 04/4gD68+/Bj69aAHn1GnxNLUhlliU6uP0jg/X/ePd1lZcg9aIr9vSKy/XePrgSsSf8AJKsP6H63 It7WR37r8XWtFMg9Ff7L+Le2t1SxZGTHvj83j5TUYvcOIlkxmbxtStjHUUlfRmKpikRgDw1jaxuP Zqu5w3Vu9lfQR3Fk+GjkUMh/I+fzGR5dVUPG2pXKt6g9BxlqvsvauLXbHcm2V7z6+o9K0W4qeGOj 7J27EptHPHUQtEK6WlDaleAxycXMTtYmP989tduv1a45YuBDcU/3HlY+GT/Qk+JfsYkejDh0Z226 MtFuFJX+IU/bTo5XxQ+YvY3SNPHFsDcdR8gOj4XLZTrnJzml7Q2FESjTHF0dTaqnNMpN440JkC3k gufIIc3Dadz2e5a0vrR4LgCpR/MeZQ8GHoVr8+jQGC6XXG4J9R/lHWwB0h371R8iNrRbt6y3FTZm np2jGUw9SqUu4ts5DSdVLm8RIxqaGqjJKiQXjc30OebI45Fk7kY8OkzoyceB8x59DeqW5A4t+D/Q kkm315P+PtwAAY4dU6yBrfUXJN/re3+8839+H8uvdZFUG7H6G4/Nv9exNvexnrx/n0hN/dn7H6yx y5HeGdpcYJlf7HHrqqsvk5I1JMWNxdOJKyrfixKqEX+0yjn2Y2G2Xu4yeHZwFyOJ4KvzJ4D/AFU6 bZ1UVY9EI7D+Uu/t9tNi9h09RsLbcmqJsnJ4Zt2ZCFjbUKhTJSYRJF+gg8kw5/dH09j3buV7GxCy 3zCe4/h/AP8AoL86D5dJXnZsLgfz6AnGYKKOR6md3nqp3MtTUzu89TPK51NNUTSs8s8rseWYkn6+ z2SckBVwg4AcB8gPLpoLXiM9LalhjQABBcfkgj/X/wBbm3tG7H16uq9PVOtrGxvfkXtxf2yT1fp+ g4KkcE8883t9Lf7b2w3XsUr0+Uw9S/U/k/64t+Pxf20evHp8iNrc/gWP4sD+B+PbbV60KHBHTpFY 6TyTb8f15+gHHts9br5Dpyj/AKm172+v9bf1tb20eq9T4rEWP4HB+ljf6fX6+2jXz6coBkcepqhT x+DbgH/YXuf9b3Q9eHUlOACCbm4P5/3xv7b8+rdSkUWF7i/Nr2va/Gkmwsfej1rqSFIt+CTyfx9P xx/Qe6E468KEkHh1mAIsPwf8P9v/AIe6k9W0gcOsuk86Rxf6/wCJ5591r1vhjrIFN/8AW/p/W/8A rfn36vVdI9Oueg/0PPHFv9he/PPvWrr2kddGJiPofqB+Px79XreMgdc0jIJJU/i3HH+v/j9Peiet 16yeL+lueeLj/W+vH096r+3qteveIH6gf6/5/A/H597r16vz694hf6Lcfj/Dmx5/w9+r16vz694h 9OP9ufx9eP8AXPv1acOvVPmeveJeeB9PyCef6/T8+9V61U468Y7fS3A+h/2/197r16vXvEv9B+P6 8fm4v7116vXvFzbgf0tf/H/ePe69br134h/geLfU/wC+/HvVetV66Ea/0HFz/sLcfS/4HvdR16p6 8Y1/IUni3+P+w9663U9eEQ5+huL83/p9R+b297r16prwx17xC1rLa1rG4F/ra31uPeq9eJPXvEOT Yf7Dg/15/wAD79Xr1evCMf4f1BP1/A/3n36vXqkdd+Mc8C39CD+P9hzxz7916p668Y/oPr+SSOLc /n+vv1evV9Ou/EPpxbjjm3BB/wAPwPfqj8+tV68Yh/Rbk/j6/wCH+Nvfq9brTz668Q/ovH1/17fj 8e9169U9f//Uv7+z/p/vQ4/x+v5HufNXRTXr32Q4ub/i9hYc2va/v2rr2rrv7Hn/AGP1sv8AT8G4 HPvWrz69XHXRoxc2v9PrYfT8H37V8+vV699mL/n8W4HHHH59+1der177Pi/05t+P+K+/auvV66+z H+3HPA/4r79q69q65fY8A/T/AGFrj/bcAke/auvV68KL8g/4fj62/wB69+1fLr1euvsxcfXn/AWt f+tz/X37V1qvr10KIf1seT9Bx/vgPftX7Ot167+yF7D82A4HN/yb+/auvV68aEfm/P8AgOf+R+/a uvV66+yH0v8A050j/bn+nv2rr1euYob2bkD/AAt/vP19+1ft69XrqTHRSLZ0DX45tz/txwOfp79r IyD14Z49J3JbLx9dG94kYsCLeMWINiQeDxf/AA9vx3ciHj14gHote+/jJg8vXDP4WSu2puunKyUm 4tt1EmKycbrdoxLJTlY6qHVa8cyujAWt7XS3VnuVuLLdrSO5tPJXFSp9Ub4lPzBHXkaSI64XKt6/ 5x59BljN2d39Ebuo951a5iPOY6RFp+3+soFp9wPSR2vS9g7Jb/cVvbFvGQJ7XqCl9IA9xzvftz4u u75buzKK18GQgSD5JJwceitpPzp0aQ7mpAjuk4/i8vzHl1eT8Q/5g23e+HwWzN10tGm98rUDHYrc 20SarZ26ayKnmqJVqqKrlizGys6sNM7SY+tQglW8LuAAIxniurK4azvrZ47lTQgqQR9oNKH7Kj59 K2iUoZYZAY+rDN07w2psTEyZrd2dxuBxkZI+6yM6xNNJa/hpYBrqKyoN+I4leQ/ge1dpZXV7KIrW BpJfQDh9vkB8z0lZ1UVY0HRDuxvmNnc81Rh+o8U+GonvC28s/TJLkJUb0+bDYJy8FLfkrLV+Rrf7 pU8+x3tvJ8MOibdpQx/32hx9jNgn7F/3rpM9xghBnorX8OrsvkZ85uLJ1+dzVcwkq8plqqWtrZmN zZpqguVjX+zGumNBwFA9ioPHDGILeNUhXgqigH+r149JiSx7jU9KanpUjWyIFB+lhwOLWAHHNvad mJ4nra0r07U8RHqv/sLWsAeT/sfbTcOr1yB07RL+efwbEfS/+P1tf2wer/4OninFj9LfX8/1sPr/ AF9tt1ulfPp4hvdbkf0/1/8AYD6Ej20evDGOnyl+t+Lc/T/fX49tN1o9PUI+gv8AQXv/AK/4t9L+ 2m68MdOsX9lR+ABf8/Tngf4+2j59ePTjGSP+R2PFr8Ee2z9nWup8d73H1uP+J+l/yfz7aPVq9Tkt x/h/j/j/AMT7bPTgyOpMZP5H+8/4c2H5+vuh/l17qWv5vYfXjjm4Fv8AWHuvWupl7gf4fj8fS31s PdD15eJ6zLyACPoLf0J5tz9efdD1frKPp/iTc3/xH0/23vXXvPrOiAi/9R/S4+v1/wATz7qT8+tE 9ZgoBvf/AGFv8P8AD37rVa9ZNHAs31F+R9f68j6e9A8OtVr13o/x/wBfgn6e/V+XXv8AD14Jf8/X ngf63/ED36vXuuSqOLi4t9bfW5/3g+9de65eO/8AZ/339Pr9be/V61Xrvx/4AW/1v9796r+3rdeu PjJt6R9Lcc3/AKH+n197r1queuWj/aRb+v8AxX3qvXq9eEfH0HA+th+P95+vv1evV668dxYr/trf 1/P497r59br8+veP86Qbfm3+t/rAe/Y4da694/8AAf0+n9Pxfj8n36vXuu/Hf+yP94/PH+v+Peq0 8+vfb114/wDaR+fx/X3uvz696de8d7XUD/C4BsePr/h/X3qvXh114+PoL/71/jz9P9f3uvz6312I /pwAf8eLm3+P++v79XrXXgn+0j+v1H/Bv6/i/v1evceu/GP6Acj6AA/W34+t/fq/Pr3XRj54X6f4 jk8/6/49+r171z17x/QaR9Rz/U/4/wCx9+r17rwT+gH19+r1vr//1dh0Uw59J+n9f9h7nSvz6Kan rr7YX4U/T+vP1sL/AI9+r16p67+1H10n62PP55H/ABPvdR69eqevfajn0ni/5t/r+9autV699sPy p4+nP/FT+B79qHr1vrxpfxpPP+vf/e7e/V+eOvVPXhSj6hfr/if8Lkcn6e/auvV699qOfSeP6m9h a9v9f37V8+vV66+1X/Un8/Q2/H/FB79Xr1T139sP9Sf9v/Xj/W+vv1evde+1/wBpP5HFwOf959+r 16p699sPyp4vax/H+3/w9+1deqade+1H+oP1P1J5/wBf+vverr1evfa/7Qb/AF5P1/4p9feq9bqe vCl4/Sf9gSf97/w9+1D161WvXvtQb+lr/wCx4/1rn37V8+vdZVhNgCD/ALHjkccH8kD3XVWvWyCO PWUUwcWZNV/pe3P+vxf34tTz60AfXptrdsUOQjZJoI3DBhpZAyNf8EEG49uJcvGcHr2kmvQPRfHz AYre+O7D2lLkdm7wxtZSZClz+2Kp8ZW/dUVTHVU7ziFVgrkjmhF450ljK3BUg2903P6LebdbfdbZ ZQvwtkOv2MDUfZw6vE8kJ1RsQafkftHRgcqu494Zdtwb2zuT3NmX1WrcpOJEp0JYmDH0iLHSY6lB biKBI0H9L8+2IEtrGEW9lCI4h5Dif9MeJPzNetszOdTGuOHTtS0McChVQcA2I4twPoLf1H+390Zy STXrWPPpzjiLDgHgXsf6A8f4Ae2i3VgNPEdOccRt9L/1/rx9Bxb22T59eGTg9T4o+fpz/Tm1j/vA JPtpj88dXAoM9O8MWgm9rcc/jgEcXH4v7aJ63X06co1+g/pYf7b/AG/HPuh/l14fb0606G6NcWBu LfX1Hj/Y39tnrZ9OniJSx4FuebH/AFz/AK9z/vftpuHXgQOniEEH/YL9Of8AYfge2T1uvTtF+PoL kfkfUf0/3j3Q8eq9OEZC2/P+tzyfbZBPWwRTpyiIJBFvqD9R9Df/AFre2T1vy6mRt/ibfS/B5+os R/r+2z04OA6loPp9P8f9t9b/AEt7qetevU9Ba9/yRf8A1+P6+6Hy60aHqRGQL3tYk8fX+n+x/wB5 9tsOrgg0HWcKT/xN+Lf4cXN/det9ZQPoP8BY/j/X/wBv7117qaFvYCw45/w/xuL/AJ90r1T16yqv I5N+QT+Bx/gPp/xPvRPWusmg8824t+QLf7EWv71Xr1euQ0C/15HBPPKj8c3HvVeraT12U/x4+oH+ B5H+PvQPy6sKenXvGOAT/Tm305vY3/At79X061XrkIT+SLk3/PFj+Pz9D79Xr1QOufhP9fz/AF4/ P+HBuPp71Xr1R17wkf154+pP+B502/4r73Xr1R17wE/1vf8A1/8AH8D3qvXvy668P9PwRbnj6f0I tY+/V9evV694T/Qn6fT/AG/5HF/fq9er14wm17Hn68/04I+nv1evV67MJFhzb/XP+Nr8cn34Hr1e uvCbj6j+hv8A0/rx/X36vHr1euXh/wAf97/2Ivb36vXq9deH/An8fU/Q/wC929+r16o668B4/P0v yeeP9bkH36vXqjrwgPP1/wARzx/vH049+r16vXvF/S4t9eCTb/bccD36vXq/t68YTx+r8EWufof9 bn36vXqjr3gI/wAPpwOP9t/t/fq9er14wk3uCebf8R/T/H36vXqjrswkngH8Dm/9PpwOPfgcderx 6//Z ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0013_image184.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/2wBDAQoLCw4NDhwQEBw7KCIoOzs7Ozs7 Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozv/wAARCAEvAcsDASIA AhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQA AAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3 ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWm p6ipqrKztLW2t7i5usLDxMXGx8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEA AwEBAQEBAQEBAQAAAAAAAAECAwQFBgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSEx BhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElK U1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3 uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwDzqGKM wodi/dHan+VH/cX8qWH/AFEf+6P5U/FeyoqxZH5Uf9xfyo8qP/nmv5VJijFPlQEflR/3F/Kjyo/7 i/lUmKMUcqAj8qP+4v5UeVH/AM81/KpMUYo5UBH5Uf8AcX8qPKj/AOea/lUmKMUcqAj8qP8AuL+V HlR/881/KpMUYo5UBH5Uf/PNfyo8qP8AuL+VSYoxRyoCPyo/7i/lR5Uf9xfyqTFGKOVAR+VH/wA8 1/Kjyo/+ea/lUmKMUcqAj8qP+4v5UeVH/wA81/KpMUYo5UBH5Uf9xfyo8qP+4v5VJipILea6lENv E8sjdERcmjlQFfyo/wDnmv5UeVH/AM81/Ku40X4X6vqKrLeyJYwnnBG5z+Fdvpfwy8OWIVp4HvZB 3nbj8hXPKtTj5iueIpAsjbY4g7eirk1fg8NatdYMGi3cgPQi3P8AhX0PbWNjYqFtLO3t1HQRxAVY 8w/3j+dYPE9oiuz58XwH4kcZHh+5/GMCkbwL4kTr4euvwjBr6CLH1NG/vk1P1mXZBdnzfceH9TtA TcaRdRAdS1ucfyqkYo1OGjUH0K4r6e3kjGcg+tZ15oWkagpF1p1vJnuUGapYpdYhdnzl5Uf/ADzX 8qPKj/55r+Vez6l8K9Cu8mzaWyc9Np3L+RrjNZ+GOvaYDJbot/COhi+9+VbwrUpDucX5Uf8AzzX8 qPKj/uL+VTSwyQStFNG0ci8Mjrgj8KbiujlQyPyo/wC4v5UeVH/zzX8qkxRijlQEflR/3F/Kjyo/ +ea/lUmKMUcqAj8qP/nmv5UeVH/zzX8qkxRijlQEflR/881/Kjyo/wDnmv5VJijFHKgI/Kj/ALi/ lR5Uf9xfyqTFGKOVAR+VH/cX8qPKj/55r+VSYoxRyoCPyo/7i/lR5Uf/ADzX8qkxRijlQEflR/3F /Kjyo/8Anmv5VJijFHKgI/Kj/uL+VHlR/wDPNfyqTFGKOVAR+VH/AM81/Kjyo/8Anmv5VJijFHKg I/Kj/wCea/lR5Uf/ADzX8qkxRijlQEflR/8APNfyqNok3H5F/KrGKjYfMaTigHQ/6iP/AHR/Kn0k A/0eP/dH8qkxWkVoA2inYoxVWC4ylp2KMUWC42inYoxSsFxtFOxRinYLjKKfijFFguMop+KMUWC4 ylp2KMUWC4yin4oxRYLjaSpFRmYKqkknAA716V4K8AKFj1PVlyTzHF/n+dZVakaauxXOe8NeAL/W ts90GtbX3GGb/CvU9F8N6ZocASztkB7yEcmtQIqKEVQqrwABwKUdK8qpWnU32EOOfWkGTxR3rN8Q w3M+hXC2gczAoyhCQWwwyOOcYrIDTKkYz1qIXEGARNGctsGHB+b0+tc1eeHNQDf6NcAecHUojMsc QKjjkk/MRgn3pdT02W5tt0NjBoYiaNjcGRA4Kt2xxwCcE8k0gOinvIISVLr5udqxs20s2MhR74FV I9Vka5EcthJFFt3vMTlVG3P554xXOSQ2qLbzT6lYMYpYWh5eRWZCQTxkgsD065rqodVs7i9FnHPm 48sTeWykHafr39utAC6ffwanZrd227ynZgN67TkEg8Hp0qznBzWFpWq2UEa+RBdyrezySW5dVUMz Ekr1yB15IqxN4mmjsJ7ptNESQ3At28yYcNuCknAPAzQBrZx3pySMh45HpXP3WuXaG1EaW/8ApDup ZYpZANqg8LgEk8+3FXtE1CXU9LS6mVFZmYDZwCAcA4PKn2PIoANc8LaR4lgIvLZPNA+WVRh1/GvI /FHgDUfDzNNEDdWnUOo+ZR7+te2qSpyKkbyrmNoZkVlYYKt0Nb060qe2wHzHS16V46+HwtQ+p6Wn yZy6Dt/n1rzhlKsVYEEHBB7V6lOpGoroaZHRT8UYrWw7jaKdijFKwXG0U7FGKdguNpKfijFILjaS n4oxTsFxtJT8UYosFxlLTsUYosFxlLTsUYpWC42inYoxTsFxtJT8UYosFxlMb7xqbFRuPmNS0Fx8 A/0eP/cH8qkxRbr/AKNF/uD+VSbKI7EEeKMVJto20wI8UYqTbRtoAjxRirVvZz3b7IIy57kdB+Nd noXwzvb4LLeHyoz/AHuAfw6n9KidSMPiYHBqjO21FLH0AzWjZ+HNVvmAgtHOfUV7RpfgfRtMQfuB Mw7sMD8q344YoE2xRrGvoq4rkljP5UB4vafDDXLjBkURA/3uP51rQfCG5P8ArrxF+h/+tXquaTdW DxVRgeaD4QR45vhn8ajl+ELY/d3qn8T/AIV6fupC1L6xV7geQXXwo1WIEwyCT6EH/CsC/wDBmtWG fMtiQPYj+de+76azqVIfG3vu6VccVUW+oHzXNbzW7bZomjP+0MUzFe/ahomhalG5ljgHZnjYcH3H SuUl+GVtHqUU8c+LYMC20Zx+H+FdEcXBrUNSj8P/AAakoGrahHlQf3UbDrXpeKSKKKGFEhCiFRhA vTFOIrz5zc5czAqapcS2ml3VzAFaWGFnQN0JAzzWVDqd8sNjczyFYLm4RGMtuIhtZScj5j3xya6D yVnBjdQyMCGB6EdxUzWlu9utu8EbwoAFjZQVGOnBqBnOa3eahJc21rojGaQK08jQlSpA4VGJ7E9c c1l3V7c3N097ELvcQjRWrLKjKw+8iFcqeQc7h+ld1HDFCuyKNI1HZVAFNeLPK8H0pAce+n61NpVw gkcGK+82K3ZBuZRIGHz56Yz+VbGu24u7FgohJhlWUeZKY9uD13D7p9M8Vo9Dgjp61zt7ot5ca3dX kUi+TiKWOGUAxTSKCCHHXgYx6HnmgCtKyw2Nzb3+pwQvLcxyQq7+Y6MrAkMyjknFXU0JbyZtQW9m F3Lc/aIpFyFTsBsPX5eDn1pzaVdz2l8h8uF7i8juoxuzsI2lgSPdTXQQDc5c0AZln4WsrEW7wEx3 MDswnRQGZWYkq3qOf0q1JolnLb3UDiQpdTec+HIIbjkEdOgrRopiKMOk2sMyTHzZZEbcjyys5U4I 4yeODU62dvGZGiiWNpW3uVGNzep96nooAqMhRsEUn4VbIBGCKgkiK8jpQMA4ZTHIoZCMEEZyK8r+ IPgwWUv9o6fGfJf7wHOPb/CvUc8VFdQRXlrJazruikGCPT3HvV06jpy5kJo+csUYrc8T6I2jaxLb 9V3cY/z361j7a9qMlJJoLkeKMVJto21QEeKMVLtpNtICPFGKk20baAI8UYqTbS7aAIsUYqTbRtoA jxRipNtLtoAi20YqTbRtoAjxRipNtG2gCPFGKk20baAI8VE4+Y1Z21E6/OaTAlth/o0X+4P5VLim 2w/0WL/cH8qkxSWyEMxS4p+2gKSQAMk8ADvVAR4ro/D3g691qYboysfU54wPc9vp1rW8I+DHvJBd XY2op5OPu+w9T79q72/jvLGwht9DiRWDfMuQDjHXJ75rkq17PliFxmmeH9I8OWgmkCEpjMjj5VPs P8modW8VpH5Q02ZX+Y+YSvb2zXNXWq6hdo8N1cu4H3kPTINVsYPpz6Cs40bvmm7mMqj2R3tn4ksL uFpWlWAK2MSsMn3rOkk1OC4k1HT5RfxXLlUQAnYM+9cjwV5P8PrXReHdXkSSHTfLXyyzfNznnmpl S5E3EFO+jOuWQlV3cMQMj3rJh8T2FzZX1zD5hFjkSIw2sSPT6nirvmYIOehrmB4cnVixnihR7hjM CeJIS4YD65rhnzL4Trpqm787LbeK5pobB4Ibe3+2CQlruQhYihxg4qO18S3txcWqsIPLa+Nu8sIJ SUbcgqT05p0OkWqrDLJdxSx2lxLPjAK4fsfpU5n0jXYjaKRPHHhxsVlCnsVbjn6VCjPqzZypLaOn 5C+Kb97eygtYppYZLuYJviBLqo5YgDmsK+1Nr7w/FDdjNzYXcazCdSN6HIDMvXBHWuit9NsbR0eK H54ySju5ZlJ68k1ZOzeZNi7j1baMn8at0pSe5Ea0IJJK9v6/I53QIYpNavCIIZLeSBf3kELRRAg9 AD1PvXVB8AAcAdKgMme9G+rhT5VYxq1eeVyQ5Vi0RCk9Qfut9R/WpopVlBABV1+8h7e/uKpmSmsx JDKxV15Vh2qnAzUjXhXC57mparWd2LmMggLInDL/AFHtVmsywooqvfXsGnWM15cvshhQu5x2FAC3 UlvDCZbiRY0UfeJrhtR+IVusrwaPYyX7LkeZ0TPb8K57WNauPFVw11cu8GmJ/qoN2N/ufb3HJ6Vl y37bRFbKIYl4GBj/APVXRTw7lqwubNx4n8bXE7PBc2FjCT8qeUHYD3PenQeKvHdsQftem3aj+Fod ufxGK5xo5X+Zyee7t/jQIpFOUOSP7jc10fVoCud3YfFFoHWLxHpElkCcfaID5kY+o6j9a7qxv7TU 7RLuxuI7iCQZWSNsg14jDqEqfJOPOjPBVutWtPv7nwndDWNGZpLGQ5urPPysO5A7MKwqYdx1QXPb KKq6bqFtq2nQX9nJ5kE6B0b2NWa5hi0lUm1mwWNpBcBlRmVioJwVOCPwNRpr1hJNFEshDTfc3fLu 5xxnrQBdeIHleDUDHHBHSrdMkjVxzwfWgDzz4maWJrGHUkX5lPlPj81P8xXmOK918S2JufD1/Ay7 j5JdfqvzD+VeHuoDkDpnivQwc7xcRdSPFG2nYpdtdoDMUYp2KXFAxm2jFOxRigQ3FGKdijFAxuKM U7FGKBDcUYp2KMUAMxS4p2KMUANxSYp+KXFADMUYp2KXFADMVDIPnNWNtROPnNJjJLUf6JD/ALi/ yqTFNtR/okP/AFzX+VS4oWwhmK67wh4Za9nW4uFKqOSf7o/xP6Vj6FpjajfKNu5VYcf3m7D+tesW VvHY2qQR9uWb+8e5rnr1LLlQmy5EscESxRKERBhVHaud1qdbLVftcNyRcgLiIplQDxnNbnmVia9p xud98s5QxRcptB3YPr2rkppKWpErtGLd3s9+wa4dXZQVGBjH5VB749D0qS3ge7uRbQunmsSQHc4x j2prQTRh91tKfLGGYRnaMHrk9q7bpaGFm9Rme2fUdafEJGlHkqxkONuwHJpoYE8EEbuzD09q2NH0 4KBfXaRlVUNEQxJGO5pSlZAldmzpPnRaZClwrLIuchjz1rndVcGbUkmJeOK9glKnJGwjBGPSuht7 yK7QvC2QDg5GKfldxYKAT1OOTXBUpuZ2Uaqpu5zVxZJqN1epo0RhtpLXax2FEdwcgAH+damkG9WZ ftMd8AI8EzyJsU+yr/OtEyZ70m+lGik73KniHKPLb/Mm30heod9IX9625TnuT7qN9V99G+iwrk++ kL1XL8daTzBmnYLllLhoJVmT7y9R/eHcVvxSpNEsiHKsMg1y2+tLRror5lueQPnQe3cfnWVWGly4 S6G1XAfFO7eS203R45mjN5cBn2tglV7fTJ/Su9Vw4yDXnfxPiEOs6BfuPkEjwlvQnBH9ayh8SNDk 9RkAKQRjbGoBx/L9P51XQCOLzW46kH+6B1P17VNqCFbrJH3lH6cf0qJ08202jqAU/HOR+destiSl JeSsxKHyx7ck/U0iXkwPzkSD0b+h7VEVIJBBBHUGgKSQACSegFaWQGkds0XmKSfl3AnqR3B9xVjS 3Bna3fmOZSCD61BGpitgrdVUr+J7fhU2lxl75D2TLGs3swOx+FV20Q1bRWJ2WcwkiB7K2cj8x+td nrVpLf6LeWsMrRSyxMEdSQQe3TmuC+G0by6xr+or/qy8cCkdyMk/zFeixz54fg+teTL4nYpbHGwe Frp1dZo8JJ5itGgIUbwpznIPDLn8auW/hi/t7iGT7WXeEFC5VcMm8MAM5IxzzXVk0lSApNJR2ooA ZKglheM8hlIP5V8+3kfl3Tr6Yr6FJABJ7Cvn/Ueb+U/7VdmE+Jkvcp4oxT8UYr0QGYoxT8UYoGMx Rin4oxQAzFGKfijFAhmKMU/FGKAGYoxT8UYoAZijFPxRigYzFGKfijFAhmKMU/FGKAGYqJx85qxi oZB85qWMfaj/AESH/rmv8qmwScAZJ6Co7Qf6JD/1zX+VaOk2/n6gnGQnzH+n60k7RuI7fwjpi2lt 5rD5gNoPv3P9K6MvVO1QW9rHCP4V5+vepd/FcT1dzNsmL1HMBNC8ROA6lSfrTDJSeZRYVyOwtEsI TGJDKScl2AB/SrDkOhRxuUjBU9CKhL0m/ina4rlWbRrOWbeN8S4HyREKOKuRpFBbCFR+7VcYY549 zTN/PWqDu19KYwxW3Q/MR/EfSnZsCZbyKPMGn2wfB52jCg/Wnf8AEwfkzxReyrmkkuILOHnCIOgH es2fXyp+WNEXsZGxmml2GtdjSK6gv3bqN/ZkxSC/kiYLdxbP9teVrOh11mGXjVk/vRtmr63EdxFu UhkPrTsJ6blsShhkEEHoRVa7vJ4GUQ2j3AYHO1gMfnVVHNrN5YJMT/dz/Caq615MsMRlWdwGI2wt gnI5B9elS1oK+pYk1DUSjlIraIAjEkkowvTgj1zxSW+rNHue8ureRc7AsCnIbGSKz1W3MRWLSZnU 43LIcB8dCaRJJzE0cVtYQL1yXD8+tKzDmRuWmpQX0XmwMSuccjBPvipjLXOpcTzzsn9qQq46JCnb r+NLbaoI4C266uc/Nkx8j/OOlUl3JbN/zvepbK68rULd88F9rfQ8f4VhQahNPLtazmiUjIduntVg yMBkHocim4c0WJTszuQxRjjtVDxHo8PibQ5tPkISQ4eJ/wC646Gr7qflk6q4BBpm7ivOO08iZJLj zbK7Vob+0YpIrqRkj+IeoPH86p4kgkKsMN0II4Ir1fWvD+n6+I2u1aO5h/1VzEcOntn0OK5LU/C2 r2CZktV1OAf8tIBh8YyTt/TjrXbTxCtaRNjlm8iT76HP03f/AF6QeTGf3aH8Bt/XrV+exigJE9ve 2xGch4W4wMnsapC60gEbJLm5P92OJjn9BXR7WHcQ0CSdwirk9AqjgVbcTWwTTdPT7Rql4dqIv8Pu fQD1rT07QPEOrBFsbCPSraT/AJebsguR/soOT+Nd54a8Iad4aR5It1zeyj99dzcu/sPQewrnq4hN WiOwvhzw6nhzQINPjbzHXLzSf35Dyxq/mrNw+2PA6mqZJriKJknKHB5Wp1cOMqeKzyTxUV1cNa2U 0obGFNAEy67YmVkLsMHGccVZiv7SYZS4Q59TiuFmuFdFURRxkcnbnJ+tNAJQMjEMenPWnYLHb6vd pZ6LeXRYARwsQc8Zxx+teDuxkcuepNekavdiGzfS7wpPFKimSIMQxJ5HNcLc6cTcS/Y1Z4kwfmYZ Ge3vxXThqkIX5iWjPxRipnt5o/vwyLjuUNRBlPRh+dd6qRlsxCYoxTsUYqwG4oxTsUYoAbijFOxR igBuKMU7FGKAG4oxTsUYoAbijFOxRigBuKMU7FGKAG4oxTsUYoAbioZB85qxioZB85pMB9oP9Dh/ 65r/ACrovC8Ae6Zj3dV/rXPWg/0OD/rmv8q6fwxhRu/6aH+VZy+AT2OyMme9IZAKptcqpUFgCxwo J61Su9XSEqsZRnZiuWbCqR1ya55NRV2ZQjKbtFGwZPemmWsq0vjcIxaWGQqf+WWePzpjXUq6n5e4 lGhLBfcGi6sn3DllzOL3RrmWmGb3rmDMGsPtP2h/tm/pvOc56bfStkSMQM8HHNKlL2n5/eOtTdLr 1a+79CxcXBWFsHkjFJCwigVB6c/WqshLIRSq2VBz2rflMeb3TJ1jUHVXmHJzsjB6CuZdmkcvIxZj 1JrpNTsmnhaJcBw25M9D7VzzxPExSRGRh2IrSFjpW2gQTyW0gkiYqR27H2Nddp8xJyvCyKGx6Vy9 rYS3Lj5SsfdiP5V1VpD5a5xjjCj2pSs2TUdosszMWXnsar6i5+ybjK8fzDlASTnjH61KxyQtMui3 2Z9svlHjD46c1LW5z9EZnmQRusstzfzMGB5UgH0B9qeLdM/LpDHoczSAAd+PpTZ5lG+KXV2wuQwV Bkfl3pvnW6ne32y6K87mXjpj+tZ6DJ1W4T7kNhARwBnJz2FRyXZ3Ix1Hy2YASLGuQpHXHpUn2Ut5 cyWCFiMlZm+ZSOnNSG3vXQ4aCBic/IM9u/rzTsw0IfOjSUgSXtxLEc5A4zj+VaQcvAHKlSy5Kntx 0qt9lum5k1CQcchFAFWbWAgxWwd5CzhQWOScmqV0m2Lc9BztsIgeuxf5VB265p9y/wA4UdEGKiGM 9a8o7x2RxUkcxj6cj0qAkevSlzSAxvE+uzC5j0uylEJkA82UnG3JwBnsPU1m6z4Zk0zyZUnaS0bC yyt/yyz1Y/7NdCbbTreW91K6j8xpIdrqwyNoHQD3rB0PxbFarJZ6gpNmc+UT85jX+4fUdqYGt9h/ 4R0xXlqHu9OAzImdzRZ/5aJ6j1FdDDNFcQpNDIskbjcrKcgiuN8K65M2ovp8dq72UjsY1X5vs49C f7tdaI4bK18uCNY0Gdqr0GaBEU775TjoOKjPA5poz70bWIzg0hjSckVkeJ7r7PpyRDlpH6DqQK1x jPNcN4y8Rafp2vLZ3VkbrdEMsjlSoPY9j60DIkuUMZZiF5xg/wAR/Grmlut1fQxHI3MMkjgKOTWK upeHZX2yT31owP3ZVDrn9K0odRsrSOS4s9RhuugEaRlXUE8kj0AptgV/Ed4t5rEssHzxrldwGRgf 5zV/RdN0u9sopjCZfMjLM6tlt/8ATHTFVv8AhJILGE3FvZW8+8uzB+m5m449hiuZ0vWLnTb8tHcr bLKxd+DgH2ArmqU5yilF2sS0b3iXSbnRLGC4tLmVnZwI4pDvIzwMZ+tU7PwDf3FykizwSoCDIr5G X/iT8PWmyavfX2s2VzfXNvNCrkiNZQhBUdSan0Px+INUngvEzZPIWikx86EnocdQaxryxEKf7pXa /r7y4pdSpqvh+XSxJNeae8UZP3oZQwHrj+fSsC2S6uCzRqREP4mHA/HvXc+K/FmnXNg1hbSb5JDj BHQ9P61zWs3MOmtY6eijyY0wzBiQD3OPXNXh8ViHTu1aRrThTc1zvQin0y9tTsmRBKRkR5IY+nUV QW6iJwdwI7EVpnUlTdPPcB9wwSzbiR7d6js9RsZbrMUMeVYM4wVLjvn611xx1aMW5I3lhaUqihCW 5UDoQPmxnpnjNOxnpW3O8Gom4MqeUjj5Iskqo9vp61iw6ULvUfsunpcyvFDuePcAzP6A+ldVLH86 u0c1fDujLlbExRimmO48ot9mmtiAxQyHdHJt+8AT3H9KntLK/muHhkjQeWVBKMGyTyAMHB45rpWL pvcwsyLFGKW7IsvL85XUShmTK4yoOA340A5QuVZVUZJYY4zj+taxrU5bMVmJijFKpVvukH6GlxWt 7iG4oxTsUYoAbijFOxRigBuKgkHzmrOKgkH7w1LAdaf8eUH/AFzX+VdH4dbEbj0k/mK520/48oP+ ua/yrZ0KTbcyR/3l3D6iol8Amrqx0N1D9oh2htrqQyN/dIqs1tAltEJLlUkjYt5nHLHrwaj1ieO3 thM8Rkx0AbFY8+rmO2zFaQAKwADZbqCawmqd7syhOoo2TN63igkMjpcGV2I3upx06DjtVoxq0olK /OowG9BXNRalqEq4iyoKKQI4sfxEGlaDV55bNyJyAP3uWwOvf8KcXFLRClzN3bOibyI28xvKRu7H ANRNqNmshjNzHvAyVByawotCvWRlkMa5bPL57Ef4VZi8Psl2tw9yvCgFVXr8uDVKUuiIaXVmhDql pcXK28Tszsu4fLgYq0CUOD0rPtdIgtJ451lkd4k2DOACKv5z1FWr9RaLRbCuquuGGRURtVP8Rx70 /OKN9MabWzEWFFIPJPvTywFM30meaAbvvqPHqepobaylWUEHqD0NMycUm6nYncXZEqkCNNp6jaOa 5m+8VX4USWdvGkJDFC4LMyg43HsBmukz71l3WnLE7SwKgRskxsQF564z6+lZ1FK3usqFr6lHT/Ee qzNuuLOIRDq5yn5etb9pfR3itsBWSM4eNuqn+o9DXPX1xLLCXcv5cA5ZdpCAjGeuOnHOasaE0f22 YtKvnCJY1hTJCIvTLdzzWcJNOzdy5RTV7HQbq0tAgM+ro5GUgBkP16D9ays4GCa6nw9aG307z2Hz 3J3fRR0/xqq8+WHqKlG8jSbvnrSLyw560jc1G88Vu6GaVI9xwu5sZrzDtMSy8aaTf6fql3EZE/ss sJ4pAA3HQj2J4pNN8Z6beaPZ39wslq1ykreUVLFDGMuCfXHNc5J4NtjaQR3mrQ2k/wBoleZoTuW4 t2k3BGPsatSado73kkv9rBoGvXuFt44iSoePY6Z9D1zQI2YvGCy2U18ND1RLWK3acTSxKiuoGeOc 8isefxVZssstx4cg3fZHuottxHIW2gHDBfu5z3qXT7A/Zfs/9tazfWkdv5YtnhWNShG0AnGTgH9K sQaFpkC2yWnhqTFujReYdsZkBXad+PvZHrSAZJ4t17QbaP7TpWlxpdWsktsttIxIdV3ANwMgj0pl 14g1uPQ7jUZPEWlXMwtPPhtbaIAg8MerEnjI6VNZ+HLXTZ4p7LQ7WOQxsuZ7gs0YzjAyTwR6U+3s LexYyWttoVkWGMpGCeff3pgZeseIPEbPI/2SXTY5NPM9vHHMspdldST8o4ypIxVddM8RapdXr2wv BJHe5hu5tRaNETIYARY+YYJFdUt1JLbpIuqxnYWG63gJHbAx7VMdOuZhubWLwowGNuF9/TikBqHg 5Y4HUnpXiuphvEfiS/u/OjAMwVEZ8FhnAx7YFem67OmheGL6dZZHdkKRtI+WJbjAry6y8OyXC3Nx PMFitot5aFgW3HoMHFMZPqOiX0MPmRWhKOCI1t5C/PHOOvH071i2s1yLlFDIpZtu8jbj6kYrW0TT NW1C5CQveRW8SGV5UJ+RcHkeufSq+kX2oW175elp9pZmJWJ4gxb3x64pX6dQJbk3IgLZiaGBvmZH 6k8Dj8Ki0+zl1vV7awgbDzuF3Hoo6kn6DNdGtrqOt2MN2fDEVwt3uhiWOQptdR9/HYdepxXJRxyW t4i3RntE3bJJFUhlU9cevFNCPVLL/hF/DmuaZoNvpkV0b5Mm/lCuHPIGDznkdulZfij/AIRnULa4 1bS7Io2l3IiufJQR789Gx6Bu+K2LnwXp+saPoY8PagixWEm5bjdvYoTuP45HTiuS8XXY8O+JddtI BFPBq1uAyhshGJyTgdwQePegDlpNZunSRXKyeYwJZ1yeDmty01GG4SSdoEl3xlV5I8tvUe9c5Y2q 3c5R5fLUKWJPP4VuR6VHZRxGK4MhuF37UYHZ7EEda5q/K9G7M9HBqpG81G6Y2+tI7u3jGcSlj+9Y dAByP5Cls/D1uEkuPtmJIACFDbS5PYcdqyr+HUJLopukkC8KyrtXB/Qe9aNk17HbKlzCzEfdIILM PpnOaTU1FKL1HCVGc5SnGyf4BqcV9CsXkSysrAtsRRuXHc4HSqds9wUeS4kKJPgrN5oR8rnBGeo5 NSz67IJJDDG3zJs3M2G756e/8qmW4il8kPpSX1v5iuZY2IkKgY8s/wB0A10QTUVc4qri5vl2Iv7S fTjHbSm5nWMHYsjgKgbugGRz6102i/utNKwhla7beYSoJZT0+bsRx+dYkiwzO8dokQhXEKROVcpu PPJ54J7eldTC6WSh280RWELTNHJwI2A4wPQ8daZmch4svPO8RSLG2UswsEZH+z1/XNQPfudMQ3SL OZ5DgHK4Ve+R3JJ/Kst5GlkaRiWdyWPuTXVIdKhs4LZ4YJLmFvLuDcnGxeD8o98nmrjG7sIxII7G 4SZ8XFuIk3khg46gAc49aRSn/LLUlHtKpX/EU+7WGO3lSyDtFdXJEOR8zIvT9TSJY2Bs0W4vZbbU DOI3glhISND/ABlv6Uk2tgHqL7GUSK4X/pm4b+RpGvHiOJ7aSM+4I/nSzaAyrfTWt/Z3MFiQGlST aZM/3AeTTLyDWNDmSC7M1s8iB1VnzlT0NaqvUXUVkSJe27fx4+oqZGWQ4Rgx9Acmq1xdeVa2olt7 eWSRDI5aMA4J+UcY7DP40ttNbi2u7pLbyZEj2IyyEjc3HQ+2a2WKn1QcpaxUEn+sNP0lD9hup3JI ysSA+p5J/IU2QfvDXXCpzxvYl6DrMf6FB/1zX+VW7WY21zHMP4Tz7jvVazH+hQf9c1/lUtWthHWt HBcwBZUWVO2ehHalSC3jGEgjX6KKbodqz6eYpiROBujQ919PrTzxxWNOcZNxXQ56kXF3HhscDj6U ham5xSdutbGY/d1pCxpueeaTIxmgB+7k0melN3DPvRu6UAOozTC3pUVxO0UW5VLsTtVQOpqZSUU5 PZFRi5yUVuyxnrSfjViLw7qD3duJtSiSC6i3QyoMo0mPue1VHgms2NrcRNFLD8rKxz+IPce9YUsR Gq7LQ2q4eVJXbT9B5I/xpNwHSmFuT9KTP4810nOSZ/MVzWoaNPdX8pNyqxSybwDltvRc4HoCTj0r oc8mkycVnUhzqxcZcruZWl2K2d5vksmmtiFMsBGBKwzzkngVai0+3i1CS8t7doGkOVjMgKoCPmUA DnnGKt/jTo42lkVFGWJ49PqfaoVGEfeb2K529ESwRxyuRM2yFeXbPOPQe5rNLeItDuXvNIvWuIHO Wt5PmGPTHf8ADBrXvxHa2ijaJrMHMsmOd3976VXSCVF8yyk81Dz5bHqPY1wVMS5T8jphT5UWNN+J ljMRFq9pLYyjhnjBdM/TqP1rpIrjSddgUwXNteqM4VXBPPt1rip9OsdbBDp5VwvBPRgawtQ8Lalp gNy1u7wr/wAvEYPy/XHIqoRp1dIuz7Mp6Hq8enWsRRBaRr5Ywo2dB1pn2SdlKpcrEN5I2RAELjp/ 9evLLPXNdswBbaxdqo6K7+Yv5NmtSLxt4jjHzS2k3vJb4P6EVTwtRCudxdWN0ESNZ7u43PlmWYR7 B/hVG7lEV1JbzbPkGR51yx3dxwPYVz6+P9dxhrPT2/Bx/Wg+ONZYllsdOVj1YozH+dT9Xq9h3Rvy S2xVUhFu6LlwpgeUg98ZNaVlZtMscytsQHJiNqqH8a4mTxh4klGFubeAH/nlbDP5nNZ9ze6tf5F3 ql3KD/D5hVfyGBVLCze+grnpV5qukaQp+03ttb/7AILH/gI5rmNT+ISYMek2bO3/AD3uBgfgvX86 5JLFV5VACepxzUy2ntW8MLBfE7iuUNY1W+vHW4vp2uZCwwr/AHQB2AHQVI2sk+Gri3R40a5uCzQK ORxwckZwPrUFhHDrHiK2tLqVbaBpdhZuwB71t+N9O0ayvvs1jfqzxqpYcHk5yCR7AfSuSpaU9FoU iro+vXVhoV9NEdm5liAXoFIOfpVnRvsy22pXclzbv9jgCxMrAMSRyV4/D1rnb2G0gtUMasju4AO7 IYY5/GnCHGkbkuVMLfM0eAfmz0+v+FY8i1a6juSWnifW9OKm21CZEQLiMnKYGcDHpya6SHxhqj20 NxdQ6felEyyF2QLn7oZRlT27Vx0N81vE0ZjSWOQ5ZG4ye3NWkuI7+TZaaeYZh87NC+0Bc/Nx9KsR 1Q1bQ7guL7QLqwdjtlNoMZOB82VIxgAnpzWa+keEtQnCwa19id2JG4MysuM5y4GCTj1HBqjKLRyY 59QureRTlUcbsgg87vy4+tZ+qWeyBbhbuKVEVUVR97HbikBp6n4VbShHPYavBeuxAVIl+Y5+mR+F Mec20HnTwyKR1C4YA/XPH41T0LUHhZUjcxyQtvjKnnPt71fupkWGRrhlVpjtLPxuycn+X61yT96p aUT1aSUKDnTqWfVefoUItdLTNHKhiSTglTx+Nb0l9Jdubi6KOAgXcQFAA6Gqmm2+jsQ8tsXh2HHl sGJftye1RX+nwzWilDGkrONu0YGO+cVPuTnbVFr21Gjd2a/zI5o9Lu7+Dy9oBZpLmTecbRzkjtSt YOXuMXNjd2scqxEQ4Rm3dCg68VnW9u9tm5eRkAyuFTfuHQ57be3PWnPJ5SC4sIYxuO0ssZ3oeuME nHHcV2nkGnoWiqutRFC1y0akmNUw3px1HTOPpWrrAu5rMQwSjytRlEAVjmQqvzHJPoMDt0qHQi02 nzXtzCpM3y/Idm0DgNjv3rUvbK2vJ4o7h3X7HEBG0Y481vmbODx1UUgOGtrVW1QwgGRIySwZeTj1 APr6GtzT4ormadbh5kgih81i6GZI/QHjjODyaonT7V9T8/7Yy2vmEzksFkjHf+eB6mrKxSWlnqfk 3Tx28RKQxSoS06Z5BKnGB78UwImczaxZQWEcEpIU2smTAVJ565wOc8nrVua61Q2t99phumjvZ/Jn nZEn3SLxhW4PbtWYZb2PShKC7PO4kUxpkBQMYbt2GB2qvDrV1A6YEe6N96YBQq3qNuOfwoEa093p c95PJdaZZgrB5KQpvt9kn985yM+2aqXmnQ3NvYrYrdTXLKFuXeVZEz0G3BzilHiNpI2huI3ZHuBc SBism9x6lhnHtmnSX2k3YuGe2t457iZXEiq0XkqOqqBkc+tAF2xj0ieTUFv5VtbhGC25mT5SijGB njORWbrH2WGGKKzIMcx81mHAJA28D061fkW0kS8fT7m8AUr9jtvMScN67s8j8qwS81/dxrIQWbEY AUAAemBTA244/I0mzgxhmBnf6t0/QfrVWUHzDV2U75CR0HC+wHAqpKP3hr1oR5YJEXEtGAsoP+ua /wAq29Fsllb7VMMov3Ae/vXOWhMsVrCp5kCIPxxXd2sSoGgXgIAoHtXPiqrhBRXUqKMy8v5RqEUU DlZSd2R2ArbLJfRNNAAJE/10Y7e49q5pYyPFcsb9RGCo9qj1jULjTDi3do5XY/MPSuChze0SjuOU VJWZv7iDSbvr1rD0vXUuFEUvDAfiPp6itlWVhuDZB6EV7N+jOKUHEfnpSZ4xSZpMimQOz0OaOxpp ajJoAsQ2lzcGPyoWbzW2IccMe4qzYW9/a3SXkdoGMTfKZQAuenf+lXLS7vbC0W1WS0iAy2+SUHlj 1GOhHP50241ESoBNd2b7TlUjgaQoc9QTxWLk3pbQ0SS1KbaTr7201pPqEMVtPOJDk/8ALQt0XHTm rl5pd1PIxvr7dPHCCzvGQgAHTd0z9Kab6Xdv+1ajLuPLYEa8n17UyTlCjWbYHT7Rdk498CsKVFU3 eP8AX3m9WvKqrS/r7ixJpWk2cJe4vyxPA2kZ79AAc1gg8ZFaayFEZl/s6MqvUR7ifzqlcmOQ+YJm kkY/MNm0D6V0wv1Od2Ic9qM0elU73UreyjJZwT2FWJJvYsSzJCpeQ4rnbvxLciV0tGHlNw+R98en 0qhf6ncX7kElY/7vr9aqCNjVezUl7x0why69T0bwZrEWpbrOaPcCNrI3PBqtcW1x4R1w2pdpNOkf 92zcmLPQH27VieB/Mj8SQqufnBBFd544iSW+tIGAJngYEfSvExNNU6jitjdC6xoQ1bSk1Swbyb2E fMV6OPesjQ/Fk0EhtrweXKp2Mrfdb2rrfB4dtCxJz8uOa4jx9p403V4bpFAjukycf3hVUIxqPkl8 gbNuXwzoesTtNAz2EjLlljAMe76dvoKw7vwjqlpd/ZxatPnlHiGVYf0+lGgeII0lUXKebt525xmv RQ4ubaKSORowwDAg1ft61FuM9Rcqex5T9lKMUZSrKcEEcg09bceleg6j4esb+SSXyzFPJyZVPGfc d65K6sJrKcwzptYdCOjD1Brsp141NtzNpozhAPSniEelWhH7U7y61uIqiL2ps5FvbSTNwEUmrwjr I8SyJFYJAzhBPIFLHsvc1E58sWxpHIRRTXlw7LEZdoMjDOOKrSvuY/KFXtznH+Ndg01tD4cuNpSa aaQojFB90dMN1zk96XTtIistMvdUnjWJ7dfIjOMh2I5OCTyB3968tytuaWOL3BOC7OcHBxwK3LZI prExLFtyRukWXIcDpx0GKhtdNgMf2ycsyRJvlRl+XJPyjcPWpI4StmI48ISd3HTrTAmt7JEkwi+c 7/KquBj2/GoNWsLjTNQdZIzbsCDtGV2+1bPh+1aW9jUpBOY1LmOeTYsgH8OarabbprXijyTFKltB umkjWTdtVe2SeeaTdgMHzC77mYsT3NV35Y1qa7LFLqs0wmDSSNkqECgemMf4VWkspFtlucZjY7SQ D8p9DVALYaVPfKGhHLSrEoIOCx961fEmiraanDptlGZp44sSspJaRgMkkHpiq3h97qHUoZ4PPMNv Iskoi5wPXHrS3urXX9s3N7IhMrgr++UhsHofY1Otw6Etvpd1pWh/2nMzRCaXakDofmA4LZHTnj3p qz6neW8t1bhIobUZdgccn69Tx0rX8Qa5bG502B4w0FtCjMkDhhnHr0znqPapNWvrdfBdrFEsfn30 jSlTHsOScZHr9amyve2pfPK3LfQ5+3vZ3t2giu/JkkGyVWGVmGcjkA889Kt3OrRXko+0yoJkQjMM JVWY8cYweAAPfNb3huysraa5vLmGOKXTYAIyr7WeRhw3cEgVg6dY2V5qCXBuZWbPnFZEwHIJJ579 uaog6XTrZYktbZwiiIZdw2WAHLA+nQ/nXOXHiLV4TNOsYW2uZWkjJXjknHTg/jWjfQy3NskUT7Z7 iQquegBHJ/IVj63bXG2zQRZHl7f3OSrEcA49cUATW19HfWF1c6g0SvEUYbVKvcEHO3OMHtT7u2kl itzG6vPfwAIqsDsBbc5JB459qpxTWq6bb2SXIEkz4n35UR85Oex6AfhU8lwn9rNLHcKPs6bdykZY k9VYY4HHNMRJvk0m1n01YIZmilEkkm1kdgMEhW9MfjU11dRzRXLzabcQPIVe3iZlkEcX8RIb5j3N Nn1G+ikggluZL9ZpDKN+3jsSffGfapbvUVfdcXun2uURBHcQu25QDwQPVsEc8daBlOeHQZheSW9x GUgVTCrK0TzE9cDkDFOm8MgNciC4Ei2sKzSyRukiKp98gk+1XDJpckNvaI95aFAz74YAyyEjjnvn vnpzUcOiWM1tI8M1n9qmkVY4ZZti4JwcAdRnvnigCjFpU2lXiTXAzIsRlhiKMryZHykAjp3z7VJZ pYSTh7aGSOSLLN5gxjsP5/pWnaaNqU93O6ebcmHZC5WYuI13chW7ghePrU935gUCWMxuzs5RhgqM 8CtaS5ppEvYz9tV5R+8NXStVpV/eGvUZBlaW/l32mM/3QyZ/SvQpR9iu4ZmH7qb92T6N2rz4W7NY QMvDCNSD6HFehaDfWuv6R5F11YbJAOqsO/8AWuDGwbUZo1iyPXdHl8yDXLFC724xPGo5aP1H0qrq 2hL4j02O6sHUzKMpzw3tW5a3VzolytretlW/1U3aQf4+1WhpIWZr3RZEQucy2jHCOfVf7p9ulcEJ uElKO6KPHZoZradopo3iljOGVhgqa0bDXJ7X5Zcuvr3/ABHevTNQ0vSvEKiDVbZ7S8UYWQjbIv49 GFcfq/w91fTd0tsgv7cch4R8wHuvX8s17FLFU6qtLRkNElrq1vdIMMM+3arqsrcqwI9q4ryGjcjD I69QeCKtwX13D/HvA/vdfzrflfQwlST2OrxxUkbIqsGj3E4wc9KwItdccSRN+BzVtNat26sV+qml r2M3TkjaN2pAVLaGIH+IDJFWjeRNKA124THWOILXPjVbUj/Wr+v+FH9qWo/5ar+GamyDkkbEl3C2 BtnkUnLK8vBPbpTDdRgjZaQjH94Fs/nWO2sW46bm+i1Xk1lj/q4W/wCBNj+VO3kHs5G1LMZH3uFU 4A4GBVWe+gt1y7gfU1iS3t5N/HsH+wP61X8gsdzZYnuapItUl1LV5rcsuUt1wP7x/wAKymjeVy8j FmPc1eW29qlW29qastjVWWxnLb+1Srbe1aK2vtW1ofhi51mYbF8u3U/PMw4HsPU0pTUVdjuXPhxo TTalJqMikRQjap9WrY1mGTV/FryxLug063MW7sZG7fgK6m0sYdPsI7K0HlRIMbv4j6n61JFaQ26j 5FjjQ7gvqfU+prx6lTnm5Foi0+0/s7SY4P49uD9TXJfEOFLk2dtwWQFv0xXZS3CRxtdTsEijBILe nrXneoXzatqUt5ghD8sYP90f41WGjefMtkJ7HItaTWU6ypk7TyPWuy8NeJzEqRXOWt2PG7qhqq1u sq4Zc1nT2Mlq5kiBK91rpxNP2qut0KLseoiRJEDxsGRhkEd6p31jbT2rLOAqA7g5P3D7f4Vx+l+I 59NtJtv7xQMqrdq0kv8A+2YFmWdmx95c/wBK8xNxem5oVJbbypWj3Bgp4Yd6QRe1XCoYfPwQPvHv 9aQRgjNenTqKcbmTVisIq47xNHdajq621rC0ggATjGNx5xzXdSbYYnlfhUUsT9K8zN8byS4aNGad i8pJI6Z7fhUVpaWGiTWdOn0x4rCWd5MNllZcIr9Dg9/rUN59psNONk7IySSbgwJ3ds/h0/Kpb7U4 p7f7Pbb7iYhfujOMck0+LSNT8QTrbW9vGbi2iLTsHGAnGCx6buvFcraSuyimmoPdxNDLK7SvIvGP lKgd8d60Ag2g7scdCKpwxL9o2+WqvF8pym1s4xz6+tXSf4QcknAoAvweXBoV5M4sJmkwnkTn96o/ vp+Nc1bTSWInu0VWLKYxmQhkP94Ada6DxBeLFp9np8NxBdBBu2CDZLE56qSevaqWo6TNpkGmafci W2uZx50xlKmNRnKge/GSM96m6vYDJRYrmJpbu7K3G/O1k6jHXNdJpOi6pe2hm06W0u/3gyrTHkkZ wF4wR3PtWVJeSruVorK4Qgj5j/e78nI4Hati3udIXQ2H/CPXMF1Gu2O5tnIYP1znPPGTSlJq1kCM O+t9Ts5VuJ4Htg/yI6DCsR1we/Wrdnday9y8UoclwGkMsG44A4/T8KS6+z3kUXl397dW4T5RMQu2 Q/ewMkAVd0nXNb0sh7C8e4jEgDwzgcD1znp/KnLmS91XBWKN3f2QnkFxpcLB40CeUSvl8fzJOTUD S6fdW1rFNe3oMYKkSYZY1J/hq/eXa3y3rS6RZz3Ew+SeCc5iA5J29/yrIg0x7mGJ4Z4DJK5RYjIA w9+e1V6ga8Fq8dpPpWm6jZXS3IEpm3FGXBI2jPqM8elV4p9T0qAm5t2ltYh5Knf8qZ+bAPvV3WPC t3Y6abldPDjciiS3feE45zjk59cVz8AlluIrSWSQRtKoZCTgEnGcetTGSkrxdwaaOjOpql3E7wyA Ja5wi7/LL+uPb+dUb/WY2cvbTzRurpsXH7sgcliPXJNTxSZNzL5s1v8Aapyq4j3JtB2g9O3P5VPI RP5rD7Hc9EUY2nJ/P2FMCjPDZ6VMs+yW4Lg5dTggkfe6Y78c1D9kh1SAtZKFZHAJaPb/AA8DI+hP 41om3SDzCLe5tlRf+WEmVz/+oMeneozE24JFqKRyFAxMsIVu3fA9T+VMDNh02WMo4uGjmRA7jy2I iB7FhnHfitCaOAW6/Ybh5WBDhGZW3kH5Bg4OOTVtkuG3MIVxNGCfLIYbQAOvB7+p602WcMxe4t0J ZNilkwPwBGO3r2oAx59QuY7ea2ubUq0q4DMWBXnJI9fSpba507ZDFdwssIUEM6bsH+Ij2J/nVyOD TZYjbtKbh7aPag65J+Y8A88nHGelRW2otFdwxz6Wyk7UCMflPYZDdO3ftTEbWnWGspo8d3b+eI54 9yNCwHVsDI9Ao6fWnXknnXLMzlyONx6nFVpbnVtJ1BbKaeJ4ssWwoBTAyQCpwRyKrfaMkknk114W N25CkWyo7VVlX96aBPUEs37w812NEhaRBtPt8j/lkv8AKmwTXGkXgubbp/GnZhU9iP8AQLf/AK5L /IVK8YcYIosnGz2BOx2mkazp2vWBtrpVlibhlbqp/ofeorvT9W8Pn7RZM9/YdiD+8jHofX61wwin s5vPtZDG49Oh+tdVoPjdomWC8/dt05Pyn6H+hrzK2FlDWOqNFK5sWfi60u1EF0qMf+ecq4I/A/0r Ztb2y4ME8kP+zuyP1qpLZaFr0WXgjDn0H+f0rLn8I/Yxvtb65t07c+ZH+vSuMo6K803S9XXF9b2t yezkbXH4jmsG7+G+nzEtY3ktueyviRf8arLp+uQ8wXVtcr7MVNSrc69B9+xkPujBq0hXqQ2YuVGR dfDvWoMmEQ3S+sb4P5Gsm48PapZ/8fGnXEY9fLJH5iuzTX9RiOJLWdB7qasx+K5V4YOPqK6I4+a+ JC5DzcwbThhtPvxQIV9R+demN4gs5/8AXwRSZ/vxA/0pP7S0I8vZWn4wj/CtVj49ULkZ5qIl9R+d OESeor0ldU8PA8Wdp+EIP9Ktwanp5/499M3/APXO1/8ArU/r8ewuRnmKWzP9yJ2+ik1ch0XUZ8eV p1y/0iNenxX903EOlyIPVsIKtJJeNy/lRD0B3GpeO7RDkPNYPCOtzdNPZPeRgv8AWtK38Aam5Hnz 28I+pY13TTIgzLc/riq0msWcZwhMjeijNZvGTYchlaf4F062YPdyvdkfwkbV/LvXSJHHBEERVjRR gKowBWTNrE20tiO3T+9I2Kwr7xfo9uSJr57yQf8ALOAZH6cVi51Kr01KtY6x76JW2RAyv6Lz+tUt R1W002L7Rqlyid1hU5J/DvXDXXjXVLsGHS7VLGI/xEbn/wABVCHT5bib7ReSvNKerSNk1tDCyetR 2FzJbGpquvXfiKXYFaCyU5EeeX92/wAKbHCAAMcCnxQhAAoxVhY67ElFWjsQRLH7VIYA64YcVOkd MuLyzssfarmKInoGbn8qVwMq60Rm3mI7dw5rOtLe80W9jkUsYS4EnsM9a6+3khuE3wSpKvqjA097 WOQYZQazlGMr3Q7tGJc2V41+JbrUVjZjnyIMkY7Eex961rdCIEDdQOakisIYj8qAVMUwOBUQgobD buc74wvRY+HpgDh5yI1/rXA2NhKNMuNUZZ0jiISORBwSevPpXQePtRK6la2qk4gXzG2nBBPSl17V LKDwxYWNnceaspVpY/uttHPP1NY1Je8GxzVppMtyJpGPkxQpvaTbuGew47mup8H67p+ieGL0cm8n c/KF5YAcc1lSXqN4ebybd1kubhtu2TcTxgAqOnU81kQWV2kexoQcEkxyZVlx1Pb8u9YTh7SNmWny u5ZtC8kbTuTvmcufx6VqafAs+oxRsspQHL+Sm9wBySB3rO3ohWLp2AFbekB7W1utSIvoxCu1Li0x +7c9N2exGa02EZes302peJ4JBdtdlZlCSvGEYqD3X1qfVdTu9a8QSStbpcJYhh5cjDaRnHPaso2k 2oi8u1ljWO2Xc8kjbdzH+EHux9KZaS2cdupxOl0mSGjzkntj6VPKr36hcsQQWxvQZbS6gQEIXhXO CPvcDj8qvStZWqq+l668bc/LMpGw+nvwO4qnpepTW86i31CSB3Jx5q5U56n61a1Oa5v2g+1iykWb aPtCjAiJPcDvgZP1od7gQiV5SXn8qSRwC7rxuJ5rX8PrDHcyXc1y1mka/LOLbzlVj0DDBGPrXM3t 81pePEwjmAP34+Aa6DRtbEOgzm1mv7SWQ7SVRWhm/wBk5HX3zVdAGqkt9qFzOJYAVQkSCLEYLcD5 ffmq8Ftob30guheWohHMkQwpbsV44HeprR4ILVpJZJoC4aTcEzGVHyjJ9c5rU0NdYaMyafqtnfiR smOY4LKvTIPt2xUydkCK1xYyI8c+keJ1nEG2RElby23E4GB0b8fSsiW1vbea8v7/AOeaRW2SZB8x 2bG4frWzqoeRzJqPhgI0rGQTW7EZT7o4HbOTWd5MDPaW8EMzwu5uJI2bDbVGOp6dDTSsgJ4l+y+V F511b+RHyHTeobofXA5b/wDXQn74QrmzueDKwI2k55x9RkflSkkK2+a6gM77MyLvXb0z3/2u9K5a 781lNncliI1B+Vs+34kflQBUvWkttPLxw3EUkjblKyblI7Z/AHtVyV5Y4ZBcTpO7pukO1cr9Bkf3 jUmpRQ24t7aKK4t1mYBjAxY+WBk4HXOQMmsaTWZEmltnuJbq0A2o0iqXX3GR+GKYGsqRyM0sdk0b EYQR7o8HJ+gxnHftRa3lvvLQ6hPGltHtxPFuAPQqeOO/fvVO31KQ2Ut2mmAW0ZEbSJMQ3tlTwevp jmr0NsLK1Fu1/LB9qn3SNcQ/JzyTnvxkUgJ4LQXr20bWunagFRp5Qj7GYHnBPrlv0pqafbC2tTJb 6rYtdTFg0f7yPyeT8q85IGO1SSo94l3cfZNOvGkdbeJ4X2Mreqr7lv0q0rppl7NKP7Y0sWEG1cHz lSQjJBPICkY/OgDmroRQXd2YLp7qFGEMUrrtJHU8dqr/AGg06Te8UfmHMj5lc+rMc/4VCV9q9fDR 5aaIe5KLj3qKS4+c803ZUbxnca2aEb1iP+Jfb/8AXJf5Cp8VHYD/AIl9t/1yX+QqfFZrYQzGahlt ElHIFWcUYp3Aq29zqGmMDbykoP4GOR/9aul0nx6YiI7stEenzcg/jWIVz1FRSWiSDkVhUoU6mrVm UpHffbNK1T50XynILGS3bBx9Ohq6LW2ljzb301u6naQx3Lx1/nj615fai50uczWrdRgrng1oQeKJ E8mCZWTEoJJ5B+bOD+NefVws4P3dUaKSZ6Jb6ZrIulWS6ga37yLnP5VoXOnzjBtmjf1WUf1xWG3j CWBrcGCMqy/OBx37VvW+t6ddAeXdIrH+F+DXOuUNSu1jdogPl2pPp0/pVK6u7mwUtPprbR1aOPeP 0roEPmxg5jY9flOR+FRuhL4MIz/eU4z/APXo5UFzi5viBpNsSryiNh/DsIP5YqrL8T9OA+R5X+iG u3utKsL1M3tvFNGB8wnjVv1PNec+I/B9o2oNcaTFBb2mwEoz7QD3Iz0FdNKFCWkrr5r/ACC4+T4n xEfu4Jz/AMBxVSb4iXEv3Lac/wDAgKo/8I1cRIJGt22HkOo3KfoRxSx6XEOv8q7FhaHr8yOdiv4w 1Kb7lnGPeRy1RtrniC5GBdeQp7QoFq7HYwr/AA5qzHCi9FAq1Sox2iLmZijTru8bddTSzH/po5Na Fto0UeNwH4VoqlTJHVuXRCIobWOMfKoFWlSglI0LyMqKOpY4FUbvW4oF/wBFge6Pqn3R+NQNK5qJ HVO81qwsQQZPOkH8EZBP59BXOXOoX+okpLP5Uf8AzzT5R+PrTbexEfA+oIx/M0cpah3H3vijUrol LcLaR/7PLH8ayvKeUNK7l3PUnkn8a1GsFaQ4I4GeuaWG28v5mVgp46d6h1FF2bLUTIgeSGUNFK8R z95Dgitq08V6xZNsmdblR2mHP5iq0lpD5h25AJ6EVK1ijR7tuCB2WrU4S6hynS2HjPT7jC3Uclqx 7n5l/MVuxTQXUXmW8ySoe6NmvNRAoPStXTR9n+eJmR/VTilKC6E8hX8S+D9WvtTuL6ExzCQ5Ch8E D0wa5vU9P1dHBvbSYFFChinAH1FelW+rzLhZ0Eo9ehrTge3vF/dOM90bg1g4R6ktNHjuh6lJo+sR XaRxOyZ+WU/L+OKdfavPqOsG/vvLQOONvP0969Yu/D+n3gIuLGGQ+pQZ/OsK8+HmkT58pZbc/wCw 2R+RqHT7MVzio3gmIdWViOAc1qakws/D8KCKZJLgljMlxmORP7pQHgj3qa5+HF5Dk2V+jf7Lgqf0 4rIvPDHiG3BE1tJKoHWIhh+lQ6ch3NpL/SovAlrps6qZbuT7Qyt8pPPY9vTJq78PdB0zUtSuJGuD GFc+TGsmS6Dg/hmuIv5b2UQRXabPs8flxqY9hC/1q5oN3JA7ItylqRysjHGST0+lYxp8l0Nu51vj 7TNN0O7iZVSSCV1UoqKXU9WOfp2rk9JniXVLy9S7SJYkPlLOud4PAHXggH6+lT3t9GoljuhHfEhv K6lVYnBYEH29Kq6eLEWwSQyoSB5ziLeCcnHHSqEUbqD7TI8vCHPOF4ya2rv/AIl+j2enobqOVuXi lAC7jzx7Hj+tVhp1vI0nky24C5wYpihYD0HPPtin3MF4HW6lnnuzbrlCQHxxwcjt9R2oAZPbeZM+ +VvLGEWNWwCBwM/zqxb2enxwXACuLsgeRL5pUIe5JHWlvNPe1AjuY3SV1DbXY9wCP51CLaRbeS6j glkCfKWAJALcAH60xlaK+1GyuPKinmSVW2hCc89hitdD51zc3Zt/OESpCu2TBRwMtj8eKox4XVhM 2GNlbh5T1yyrj+eBVuwt/wDRrVXtUn3ZmkaOTDEdTk+3FIRa3+RIFM11CII+RIm8Anhhnn/aqSzT 7VNArmyuFRTK5xhhnkcdyGb9Kg35j2vcXUBnkw3mJvUKOM9/Q9+9XbZhKk91I1pOGbaSo2kKBlgT 2znoPSkMz7+UrfXFwUu4fs0e3fCdwWRuSGPpiuYZiSWY5J5J9TWrfO6aeHeK5ie8cyEl/wB3Ivbj 6YrOtoWuLqOFWRS7BQZG2qPqewpoRtW2ntt022ayBklPnNJFLvMijnBX17V0txera3JA1KSE20BP l3cG8OT2z64zWZo9oJdauZksfLSACIixk4BHJYH8vzqzJd+dF5Q1WSFby4+eK8gwqqOh3enGOPWk MkhsvOezR9P0++EURuZmtZ9juD2Y+oLfpVa/LxaCsMZ1S2fU5+YpTmGWLPGD3IAFTSwvdQ3dyNOs 7h7iYQQyWsmwq3+yvoc/pUGqsP7WS1iW+iisYOILyTcY3bjj0GKcVzNIRlTAPKzDpnA+lRFParfl e1Pjtix6V7a0ViCokBY0r2p3nitq208sR8tTy6eBIRt9KiUwKenr/wAS62/64p/IVY2UzT1/4ltr /wBcU/kKsbalPQRDso2VNto2+1O4EOyjZU232o20XAh2VBPaLIMgcjpV3bRt9qdwKNxf6juTIjYJ 224zWlBqlrJjc/lsequMYNRlM9RUbW0b9UFc08PTl0t6FKTNi3vpYiGguGH+41a9j4l1BJY0lkEq EgHcOfzrizYBTmN2Q+oOKkik1C3cFJhIFOQHGa5pYOS+Flc66naat4rAvpbOWFliQ4DKevvWcuo2 1zJIkj2z2zjAjdCD77s8VytzBe3d3JdyTMJZDk7TgflSKl9GcHDj3FDws1qmmHMj0i2XytO85pEs 7ZBzJ0AHoorkLh4ZruWS33mFm+Qv94j1NMur291VYY7pgkEChUhXheO59TTlUKMdK3o0uTV7kt3F ValVaSRZoow/2aZgehCHB/GsrU7+78qaC1Bt5kI2OSGDnuPYe9XKoloCTZqz3dtZpvuJkjHueT+F Y954rHKWMGf9uT/CqPkXdwA9wkcrY5LjJ/MVcs/D5kvIref9xJOC0cYUsxA6nHYe5qXVUfiRfKlu ZM097qDbrmVn9Aeg/Cpre3EZBUlT6g4ojlWSeVLeNtsRwTJ8p/Ko53vC21UwD02daX1imWaYlfb+ 82yD/bHNV5NTtYThQ7MOoX7tUPsN3IfmRvqzVXaMqxVhgg4IrOVaXRWE5M1o9agJAaCQe4IqYata t1Eg+orP06yS5dw4OAOo7GrjaI3WObI9GGKuEpSV2NXLEV/bTMERXLHoAhOas295BHI0ZlCMOitn 8eKzIra9sJ1nhOGXunp3rTju9Ml+a402QTdfMV8n681lXjOSso3GmX9QsoJ9NS9hUK6thsDhh61X tU2qMgVqR65af2e0KQxiJl2kmXv+AqlbW9wEDeWpToCO/wCFZUK6hDlmyiUAjtUifeyDg1ZubB7W GOSQja/A9qrsjAbhyPWuuMlJXRJrWeoyooWRHmHTOOR/jWjDLBcj92wJHVTwR+Fc9bS5O0gvn+EH B/8Ar1a8sMQ8Z2sOmG5H4/41LRDibJgU1G1qO1QW9/IiEXA3herAYYD1Iq/FLFOgeJw6n0qbshqx nz6bDOpWWFJF9HUGsW78FaNc5JshEx7xErXW4FIUB7U+ZiPOLv4cRnJtL50/2ZUBH5is3/hE9f0s OLaOC4R/vbW5P54xXq5hU9qja2B7UrRe6Hc8duhcQ7hqOjMjckOY+hx+WM81kzXUnmN9nZ4oiMBF Y4xjB/Ovc3tMjBGRWZeeGtMvMmewhYn+ILg/mKn2cejC55jpN/fNaSwf2pFCFIKrcr5mR3GTnArT uPF94ugS6PNFBmV0lSe1GwbfQj3xXQXfw80yXJgeeA+mdw/I1iXfw7v48m2uYZh6NlD/AFFS6T6D uY1oi/2PMWZY31C5WEM3ACLyxPtkj8q0TFv86ZbSGTGI0aB9pB9vrle9Mk0zXNMS1Daa7LbBxnbv U7icn5frVVNRtw0aXVhsZXLO0Z2sepHHB4OPyrNwkt0Bob1tXYi4uYRBFtAlTeMnqM8+h796L27D aWbZHtJZjF8rRkKSSeTz35IPrUMV/aSIsY1CaEyS7nWdNygDp19gB171M6NfJI3k2V21xLsUocMC Pz65J/CpGYWqIfMXy7Oe2hRQAkjFgD7GnaIq/apJybMiCMt5d2flftx71rS2tpELiSKC9ssYWNY2 JUt3Bx9VpkunxytMEvrK6WKMMftEYRj7DGDnimBoaTbNaeHzdGzuA0oLI8D4wXPAA9uKsjUFguGZ NX3pZW2I49Qt+WJ6qPfA6+9Qsskf2WOe0urRwnnSPbyblJx8pC9ucflVUXPmRxr9uRvOkLlLmLHA 5Azx1Cj86ANO304tLZwPpEN0YYjcTS2FyA7A9CT2IJ/Ssq1/0n7RdAyEXEx2mRtzbF4AJ70lyfs9 nc3Rs0BbKpLBLgf3f/Qj+latnYeRbwwY5jjAP17/AK1vh179+wnsVorUselaNrp+SOKu21jnHFa0 FoFA4rslMgp29kFA4ptxbgTNx6fyrZWHA6VSuU/0hvw/lWLlcDk9OX/iWWv/AFxT+Qqxtpmmr/xK 7T/rgn/oIqztroT0EQ7KNtTbaNtFwIdtG2pttG2i4EO2jZU22jZRcCHbRtqbbRtouBDto21NtqSC 1num228TSH1A4H40XsBWC+1PRSzBVBZj0AGTW7a+GnPz3coUd1Q/zNXUmsbJdmm2xvZQcMlqNxx6 lun61hKuto6lKJl2fh+7uMNLiBPflvyrestEtLXDCPzH/vPzVqyure7+VA6Sc5jdcEY69OP1q+Ex XLOpOWjHaxCsXrUNxo+n3Y/f2cTk/wAW3B/MU/UNRtdLgM107KqqWO1CxwKZpus2OqbFgkxMybzA 331HvjgfSs1psMx7jwescy3GnzhWQ7hHOMqT9RWJrjazbTO09ubVX4MkYzuHpv8AT2r0Pb7VRl1a zExtvLnmYjJVIGYEf4VftLO8tSldnlgUZOTkk5Oepp4iVuoB+tdnNofh/XXcabcC2uRnKAYGf90/ 0rA1DQdR0dibmEvF2lTlf/rfjXVGdOorGnkynGFiXiNW9jVY6Xp8ztJLHKpY9Imx/OpxOuamWVGw CKl4eF7pAQ21tDbx+XEpAznJ5J+tW1jBHIH1FAGRkcj9acm7OUPFabKyAYLVJXUEjBPJHWpInhj8 xntX3kbVUnAWplRZOMlX/KpCjKDmIY/vFqwrU3US1C5iurTSB5QPlPGB8o/Ktq1vb/CwIqHzCCHU cjFV3txIuYwr4OSvQ1PBcqnmkKYSy7BlchB347/Wk1fRwQegl5NNcsVEjOkfcdAfpVRJ5oOR0/Q1 MpjjlXbuVWGFYn5WPs3b6GpmgVjtIaN/Qjr/AJ9RmtopJWSEQia3nGCfIk/8dP8AhTJLm4smBmjJ U/dkU84+vQ/jRLplxNIIoYXeRvuhBkH6V0OieEb6Nc6jchYW624AbP1PQfhTcopag2kZtnqS3RVA vnc8bAdyn3HUfUZFdBZ6DcPJ5zMbbPOR94/UdPx61o2ttpmkKUsrdFY9SgyT9TRJdTS8Z2L6LWLl f4TNyI5Y/LlZB0HSmUuKKRAlFLijFACYpMZp1GKAGGNT2pht1PapsUYp3Aqta+lVLnSbe5UrPbxS j/bQGtXFGKfMwOQu/A+j3Gdts0B9YnI/TpWJdfDoq26zvtpHTzEwfzWvSSoPammFT2ounugPKZPD vijT8GFmmVH3gJIHGfXB57VQuLy+hV49S01W3SB2MkRQ9uAenQfqa9ha2U1FJZh1wyhh6EZqXCDH c8kGp2LC4eNbmzZ8KgifcoX0P4mrS3kbtI8N/b3CQx7USdAGYfp2UD8a7u88KaTd5M2nw7j/ABIN p/MVh3fw6sJCTb3E8J9Gw4/Xmp9n2YXMBbHzdS07T2tUiYyeZI0b5Dhepx7mu0gsyzliOpzVDw/4 Nk0e/e5lnSb5NibQRgfQ11UdtjtV07wvcGV4LUKBxVtYgB0qZYwBS7abYiEpWddJ/pDfh/KtYrWd dL/pD/h/KgDkdMX/AIlVp/1wT/0EVZ20zS0/4lNn/wBcE/8AQRVrZXSnoIg20ban2UbKdwINtG2p 9lGyi4EG2jbUrbV61EJ4jMsZJ57LjJ+lDdldgBAHWrdtpd3dYKRbE/vvwK0NMn0TeFV9sw6+cPmH +Fb5jd4wbd05/iPIxXLLEfylcpk2nhy3jw05M7eh4X8q2IrdI1CooVR2AxVT7bcWqgXdqxCDMkqf dx7VJIxukM1jfbnU8Rlgo+mD/WsJOUt2OxeEQIwRkeh71VvtIW9EaCXyIkGCiJkH8On5g1N5t3FE GaBZOOfm2EeufapJJpzbLJaxxyEjkmQYX/GkrrYDDfQ7uyjhMVxNLtfDyW42yhfYZ249QBWjpV1q 10d15YxwR7mU8lWAHQgHqD+FWbXUreUKjyBZcZIIKgnocZq6yllK7ipIxuHUVTk3uFyCa6t45ktp W+eX7qbC2frx/Osq58LWrXa3VqTCwzuiLMEYnvkHIPuKLnw7LNOGjvWXAz9okZ3mB/2eQo/Kr8mo WWlQRw3moK0iKBmRgZH98Dn9KTS6AYEmoavpbSWeqKZbcxtsnRtrYHTD+uOx54pdJFzMrpY6hFMb lQXa4LC4jT6H056cZrWsdbj1H/R7uwntvNJVPOiJjmHsSP0IFMv/AA9uhibTJntprZt0CsxKL6gA 9j+QqJQ11KjNx0LKaPAt7DIsMSQwR4QKMMzHuT9P51olQwKkAg9Qe9YWmXfiCK6jtdRsBKjHmdGH yj1PY+mOtb9CSWwm29zmtX8FWV9ulsiLSc84AzGx9x2/CuG1LT9Q0Wbyr6AoCflcco30Nev1HcW8 N3C0NxEksTDBRxkGuiFaUdHqCk0eRW92OAGBPoatCUSHOdp9RWxr/wAPHAa40N+nJtpG/wDQW/oa 49b250+4NtqEEisnDK4w6/n1rqi4zV4ml0za89lGJUDp/eH+eKuQSAp8sYuF/uk/MB7etUbWSK5j MlvKrjHI9PqO1PEZDfuyYnHO09DSaAui2EwL2x5HVccj8Kas+Dsu4yewccEf4/jU2nC5vpxEbaVp V6SxjBX6noR9a6WLQYvLDarJG5B/h4z9ayk0tyW7bnJJpl4Xzp4+1RucFQP/AEIHpXR2HhQCNXvZ PJTGTbo+5VPqGPI/CteOaC1j8qyt1jQd8YFRuzynMjFvbtUOcmS5E6S2tnH5VpEMD06H6nvUMk0s 332wP7o4FJjFFTZEDQoFLiloxTATFGKWjFACYoxS4oxQAmKMUuKMUAJijFLiigBMUYpaMUAJijFL ijFACYoxS4ooAbtBpDGp7U/FGKAI/KX0pdgHan4ooAbikxT8UYoAjxWddD/SX/D+VamKzroD7S/4 fyoA5bSl/wCJRZ/9cE/9BFWttRaUv/Ensv8Ar3T/ANBFWttdK2ERbaNtS7aNtO4EW2kZeKm20baL gZV0rAHbXPanYTXRDLK8bqcgg967RoVbqKryWCvnAq4zsBzVprTIq2uv25lVeEvIx8y/XFdDaTah ZILnS7z7ZbnkYbnH9aqT6UCD8uRWelhc6fKZrCZoG7gcqfqKxnQjLWOhSl3O40zxlBOwhvIzHJ0P GD+X+FbbWljqaLLC65GcNH2J9vWvNjq1vcAR6zZ+U3a4iGV+p9Kv2x1DT9t1pd2LqHttbJx/n1rk lCUHqM7vbd2523JjmtR9534Kj+tQW8UU80kmmztEQMtE6478HH51n6T40trlhBfqYJumSMZ/Ctt9 Ps7mUXsQBlx8jK5CkjpnFSmBXF3BcOYdStfKkAGWI459+1PuhdWqLPau80QGBHGBtUfTqfzpk1zN G3l6naCSPPEiLnbxyR/L1pqW8kUf2rSbgvBxiEDP4c9PfvVAXLPVbW8QYcJJnaY367vT3qeW1jk3 uoWOZl2+cqjePxIqhBeWF5dIk1uIrgMThhgZHQ/4VrVL0A5XVtOutPV7yKWeTyIzI97PduSmOoES jBp+l+IJ2jgu70XTwXBWJXSJCgYnhiqksuff9K6esnU/D8N7byLbPJauyt8kMhiR27F9uCa0Uk9G FzS+02/2j7P9oi87GfK3jdj1x1qWvO41XwNbHbFPPexFN7yACO4VvvMmAWO30zmu40u9nvbdnuLN 7WRWxgnKuOzKfQ++DSlC2q2CxcpaKKgQVnaxoGna7b+VfW4cj7sg4dPoa0arXGoW8B2lt7/3V5pq 99APNtQ+H+s6bqCPpL/aEdsK4YIyf73tXT2Hh2K3gRtbmjlnHWOHIH+fpgVqSXtzccA+UnovX86j SMLz1PrXQ5zktR8zJ1ufLjEVpCsEY6YFM2lm3OxZvU0CnVBIAUoFApaQBRRS0AJRilooASjFLijF ACUYpcUYoASilxRigBKKXFGKAExRS4oxQAmKKXFGKAExRilxRigBMUYpcUYoATFGKXFGKAExRTsU mKAExWbdD/SX/D+VaeKzbv8A4+X/AA/lQBzmkr/xJrL/AK94/wD0EVb21BpA/wCJNY/9e8f/AKCK uba6FsIi20bal20badwIttG2pdtG2gCLbRtqXbSbaLgRlAe1RPao/arW2jbRcDKm01WB+XNZx0uW 0lMtlK9u/wDs/dP1FdNtprRK3UVXN0YHOyXqyrs1ez/7eIR+pFaOm32oaaPP0y7W9te6ZyRVqSyV +1Z0ujbJPOtne3l/vxnGfr61jKjGXw6DUjtdJ8SWOrp5LHyJzw0T8flTpdHntGE2lzsjgNuR/m35 +v8AWuEkeYEDULfzNvS5gGHH1Fbuk+Jrq0QLI/8AaFoP41/1iD3Fc8oyhuV6GuZrXUJ/J1K2WK4H AlHAGDwOa1NPt7q3iZbm6E+TlMD7o+p5NRRtpuu23mRssy4K5HDLnqDVmztPskbIJXkBOQGPCj0F K+gFiiloqRCYz1ANLR0HNULrWLeAlI/30g7L0H1NNJvYC/VK51W3gJVT5rj+Fe31NZM95dXhxI+x P7icD/69MSMKOBWqp9xXLEt5dXRwz+Wn91P8aYkar0FAp4q9gHrTxTBTxSAcKWkFKKQDhS0lLSAK WiloATFLiilpAJijFLRQAmKMUtLigBuKMUuKMUAJijFOxRigBuKMUuKMUAJijFLilxQA3FGKdikx QAmKMU7FJigBMUYpcUuKAG4oxS4pcUANxWbdj/SX/D+VaeKzrsf6S/4fyoAwNHX/AIktj/17x/8A oIq5tqvo4/4klj/17R/+girmK6EIj20bak20baAI9tG2pNtG2gCPbRtqTbRtoAj20bakxRtoAj20 bak20baAI9tGz1qTbRtoAga3Vu1Up9JjZ/MjJjkHR0ODWptpcU7gYam/0+cTruLj/lrD8rfiOjV0 uk+LobgCK9wjj/loowPxXqP5VWMYPUVWn0y3uCGZAGHRhwRWUqcXtoO52wZSm/cNpGc+1Z1zrdvD lIR5z/7P3R+NYn79oUhknkkRBgBj/nNOVAvQVKppbhclnu7q8/10mE/uJwP/AK9RqgUYApwFOArT 0EAFOFIBTwKQxRTxTRThSAeKeKYKeKQDhSiminCkA4UopBSikAopaSlpALRRSigApaKXFACYopaK QCUYpcUYoATFGKXFLigBuKMU7FJigBMUYp2KTFACYoxS4pcUANxRinYpMUAJijFOxSYoATFGKXFG KAExWbd/8fL/AIfyrUxWbdj/AEl/w/lQB//Z ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0013_image185.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhLABrAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAr AGkAgQAAAAAAAP///wECAwLjhI+pJ+2yopxt1YklpLmjjYEe54mjYmbpCawq+8GG29EvbN9sro98 uJOhTr+eUVhsCS2l5bDmTBSTxwgVWF3+rthulJHVhpFjGY/rtX6l5WCb2FwrofKpfP6O5+n7tN8M 90WFxuYmZkiGc6iYCMi4qAfJ55REyNRXQ3Nxx2CyySn1AIoQMIoRUGoagZqqmsCK6qoAK/sKEFt7 0JprgMvb+0saDDx8W2w8vPurnMvcfOwsGy1d7LsMXY2dnawdPO36rRo+Ok5eXc6Jnr7NzX7tzqt+ Jy9H/2V/fw4fv//cT/1PVgEAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0011.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
This is the chip we are using PIC12F675
power<= /span>
groun= d
pins 2 ..7 provide 6 general purpose programmable=
input output lines
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0011_image186.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAWkAAABqCAIAAADx+jcDAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsMB2mqY3AAAGElJREFUeF7tnVfoXcX2x//5v2liIBYwdhF7RwNWVJQY0Cf1QUWNihUsYIOo kAgW7BhFYyEmUaKI+hALahLUEAsWYgFLQPRaomIF26P3c++Xu+7cmd3PPr+z9++s/XDYZ5+ZNWu+ a82asme+Z8rff//9f345Ao6AI1ATgf+vmd6TOwKOgCPwLwQ8drgfOAKOQBMEPHY0Qc3zOAKOgMcO 9wFHwBFogoDHjiaoeR5HwBHw2OE+4Ag4Ak0Q8NjRBDXP4wg4Ah473AccAUegCQIeO5qgNgnyTJky pW4tyFKQ67XXXqsr0NP3GoEpvq+01/ZrrHyD2NG4rOKM7oFDAnbYYn3cMWyEuyufRlvrWrt27d13 352X5ZRTTqklTYm7i45rVoaAx44yhPz3/yBw6KGHrlq1KnNuwsNtttnGoRorBHzOMlbm/m9lmbM0 6Pa//vrriy666Jhjjpk9e/Yuu+yCuJ9//vmVV15ZtmzZ4sWLN91007poNlOjbimefhgINHGgYejh MicYgUEaLaOMV1999ddff5XOhJLDDz98o402alCFQdRoUJxnaREBjx0tgtknUR1ptB1Ro0+W64yu vt7RGVO4Io5ArxDwcUevzDWYstF72QbrHYOVn5G7gyq1XsfJKtDHHZPVstn1steo3al2B1XqDjhd 1sRjR5et47o5At1FwGNHd20zMZr9+eefJ5100ieffDLs4r788stDDjmEScoDDzww7LJc/gQg4LFj AkCOi6C50oTeffdd/XDbbbeNqjn99NNPvGF96qmnJgCFJ5544pJLLvnjjz/OP/984siQSuwOtkOq YHfEeuwYgS023njj+++/X7GD1nvllVfOmTOHCKLDZooj0de6Wj799NPr168vzcWmjMcff7w0WSsJ jjrqqIULF15++eUXXHDBdtttVyzzvffeY79Zg/N1Kbb77LOPxjvPPfecjX24b6VS4yzEY8dorH/A AQcsWbKETvLNN99UW/rll1/YoEm3vG7dOnZeRV/ranniiSfuuuuue+yxx3XXXUcLZPdnpgRaWmkz rlt0XvqXX36Z8HH88ccvWrQob9zx0ksvoTDtfP/99587d+5hhx2meFp6hYVG2D7zzDPXXHMNwD78 8MOEbLtvq15jK8djx2hMj39vtdVWb7/9Ng598sknmxI0ZlrXp59+qifRV56UtqLwpPzHH3+8YMEC WuBmm212zz33jKaq/yn1s88+o/8/7rjjiGuff/55pjJTp07l+e67726/VjlfF4mKsD399NOBFMln nXVWeD9aNCZB6R47RmZEXJljqRs2bJg1a1aoBA1++vTp9iT6WqUt2caNPffc84477uD8KwMczqGM rKr/LpgQecMNN1x44YVbbLFFVGVTjON28+fP/+ijj4ieS5cuRfMqOqcbVUJsH3nkkX/8+yKChPfM FqsI9zS5CFT0RU/WOgI//vgjVmEULclXX331wQcfTJ/MxQA7+lq3dJY/v/rqqygXxYWbKerKHEb6 IakUYvvss89SChND4A3vh1GdsZLp+0q70q/QDf7www+2ABF9bUXL8PBIRw6STIxKAnO33XYDxvC+ FVTHVojHjjEyfSsNlekPq54paixkNICyFZUalOtZBkfAY8fgGPZGQiuHR9hFFq5lWuUbnI6J9NH8 pTdojr2ivlY6Ri4QzsYbV5uRvy3QqLWzNNNMmiJFK1o1U8BzDYKAx45B0PO8jsD4IuCxY3xtP2DN 9957byTwzvXGG2/k3dCA0jx77xDw9Y7emawdhQd/z8KiKRvM2STKu082tjfbnzq4Gu3A4VLqI+Cx oz5mvc3Rylpp6+9ZQjh9rbRHzuWxo0fGGlTVVl6Itviehfq0otKguHj+Rgh47GgEWz8zdbChdlCl ftp2BFp77BgB6KMqssWGyhn2119/nYrMmDGD07EcP2tWqRZVaqaA52qOQNd24HO+Q5VhBY4z6ain Mwi6+JVDTfaVexLceuutJLaH3POQIyEs/nOQTPekUQLONei0CBc/UYSOOegryVSoqYEQnaSyIh57 7LFUYFSiRCE2r1zbIpGqQS7KoghtneBrWzai+tF5lnfeeUf1khoVLwPHMBdoxZdBQS5Ln6pkQjiP kx7JsV9hKmDlJa/EyItSF1Kt7TCR7K5TMAZ4aghzA2XMNLH5UqkdVajSpx4VuVxUhahd4JOCIqxC 2ijKTFTv9269o6U3g8FBzQaeBY6Zyjvl2aKc+vDDD83RtbYPzw0cDSTgnmBBfxjRYbGHGpoMieW/ y1asWCGx0GTMmzcvJL9B1F577RWqwYnMyy67jELpXcmOfP54NRWYEnAhiiIyy7Uml6fGG2+8ce+9 90bJ7Otff/0FJcedd95Jp61SMi9+ghOMo/fw6JAlM81DDz2kv5jlPWtF2kGoN7CC3J2MuDjhFRjz 1LDnV1xxhTye9HnnaNETbdEZtLfddtu33norTywEH5z9gYiMavJvdWGyTC8KXYgTvRGDmey+cuVK 2husCCYtMkREfVZq4tSOIh+SfBWq+9SjIpeLWoGMZZV68sknxWYUVoGGEDaKUgPVTdCt2EFtaav4 BNXgfASIhPXRMQrS4Og0G4ILXwFx3333hZ8iTBnRYYViOQYuvgykHXnkkTfddJO9XDRRYfqDDjpI lHwUyl4GPJs2kwqMSpSozHIjC6VqkIDWhWMZKaFlgcLn3HPPBR8oOYhoSpnH6MFPq1evvvjii9XM rrrqqtQ57rvvPqYbckSOxlfxHnp7kvGfkjIT6EF3SiOpmBf8r7/+euVNLwLiOeecg850yMW1U14C H9UUv5FJK/AiuRCBaebMmehA26OJmt2hNcMfYEWwMBoZAkKmMGOpiaPsGPSFF14AK9o5V+i3qats ueWWoctZ7VSFEEDuMYGmkGkVqtilWZpuxQ6rgzHuyYrgRaQAHVzqhBNO0FQFigcGDjTmI444Iqp8 RTosBo0MOtQYuDJF6aeddtqJkAEBBCXSmadYRyUWiErzRmqQAMov6osfR3xfDJoefPBBhuu0GQIf KYlreQPNF198kQRHH300RBhkueWWWzJdhBqdffbZABvF3zx/ktcabnSkhPhweFzgiNiRBqPzrJkX jZlplMg7GN8V1I7sQEQdGfGBCcQfqUDzosiFQHXatGmWXsYCB1o1w16er1mzRr9Ghggz4gyp40U6 RNm/++47DEEaPJnRTXF2YnrkcmEriHbTbLLJJojNrEKBOQb8qVuxg+GcRoyMb5kdWN3oKOivuIAb BDlPzU/qJ8Fr5513LkYBsYrKXPgTFzfIpBRuHn30Uf1kokhv00smHTQMOGOY6TAUuv322/GwTIGh DhJVmixTDcmhLCrIzqu0avvttx9EPuDAcoAiSOZ14IEHkoB/rj/jjDPIkpmGnpDpBpGotBlYdryW 2T6KCTeApYUT5qo4IolpcqUp+ZdsdF6+fDn9RF5iIibjF4Y/6R9oZ3pR6EJkoRkjGQzpFWQsONyI XORlOsOkzJiBQkOEGVk4q2LiMDv3l156KeXiyRg39NvUVYiMocvJVawVRLDQapCQV4VSwBsmqLc8 MuTUWsWkJtrjTIfME1t8UuFa3cRyWvUkmSnFvRZHddlXkon6RRfrSbZWqhUpFWGiTA2lt7VS9a4q NxIYlmhaZZZrdlKhmrKGalh9tSo81LXScLyg0VzFS5hI8+oZIwPJRqG9KpZenCz1osiFtEIcupCW GK0iuB9ZUkNYRvknaqQmDtdKzbiRHUO/tbXSyKPkEuZyURW0cmS+RF55S1SFsBW0gm0oxN/RNoy5 fczW+gtR7TGlP8xbvyhFqXWVSkv0BG0h0K05S1u1cjkThgCj6IJXQsVqaI+8rfVOmM5eUCsI+Lij FRj7IaSV8ywsBMCfbBVmXZP1DgbnBJEGKPi4owFoHcnisaMjhphoNRofYOXFyvbbbx+py7TcVqNr 1aSxGrVK8cTDQMBjxzBQ7YHMjjTajqjRA4N1T0Vf7+ieTbqtkXZG8l5TarLHiXfetkem27q7dm0i 4LGjTTTHQRZhgh0u7Cux/9/m/3SbLXaMA1yTuI4eOyaxcYdYNRY42EBF+GC/Ewex2D09xMJcdCcR 8PWOTpplOEq18p5F3D9sTPrmm28IH+xKev/99/lv3ejwUcUatKJSxbI8WbsI9H7ckXcSrOB5uwj2 S1q4ibOZ5rYN7LzzziOCKHCEb23rirUtudoE6VdfEPBxR18s1YKerWymaJ2vVCHDX7i0YOAJFtH6 LncElvL3qFCOqxgTR0q+Usq8IgkpwUnI+pOpjMqK2H3sUInwzyT4SZlyGhD8cOIgKjo8qiCynyGx tlCv6PBIRGZTxRnCM4qhr5bmFdMHWZjmpGdYQt1KRXmCLiDQ/pylCn8Pc2aSsT5vzpdJvpIyr3C4 EBdkmq2XgqWsP5nKkOv33383kiGx+yCtlOAnZcopZX+xChrPEKWkRUcdRkXWFphyokP6oRx+yqP8 sWSQ2USQlnZd9r9wOotFKKn4v3CUBc0ETs/RVTulmlec+I0KlOHXgrqziKtJq14n4wY2h9XrIfwH ZYyng9fMJEMrkolEh4sbssvTQEnPw4zKxRM77A/DC8nELJOZXZJJL5WMooUn9t6q1ATKrlKi0vUG XZUVqVVU9yg9X5EjUbrsK1VDFALz9Gk/dlTh72HOzKlh637zyFci6hSOzPN/Qhz3prdHQl3WH5EJ paw8YvcBo4htJaVjiZhymhH8ZBILlbpLmACaLGLWqaeeCggMhfLyMrYSsxahKmLWsiwRpLXUqJsY ncEfn54+fXoeV8j69eutavAbFRTBrwgBhGXLltXlDRMJiyiddFXkDYvY4ZQrovwKSQby+N/IiNfh /4odiKUfpVNUmycAhS2cJxabtLQcksVFpbPlNyS4U+ALOdPyOPQiqK2rK/jbnfZjhylRwN9D+4cb auutt1biPPKVTAocbAN2tNtarD+mzPPPP5/JVQHKKdtK6rshU86ABD912x7paVrwhkHGR1RlVsWT Y489Nm9VWBMEuDlIQ5ZM3jDpYJA2UKn6CVoaEl09M0piWUqJRtEMJegVrGo8KV3wBoS5c+fW5Q3b cccdQ6LJ6rxhITtcJssc+1zCo/GZ/G+WEX9bsmQJjgdHDPM46isaV1hCaOFwlBmra3iv7GapqIej LwnZxkLrGO2eUfMZh15k90wuu9Q32o8dpfw9hGrQwYEYeqAlbpRJviJdQ+oU2j8Dfp6A3ffff1+R 9SciE4IfRZQtEbsPQ/GIbSWlY4mYcpoR/KRFU00bfsvAeRekOHBk0U3Bl6VxZgGzlvpVI9fK5A2L IK0VOzAEjk6/BENP2GDyhNA8oLfCm6G9EfVOdMH9Re1oS2vXrl2wYAFUiQWzevKShpSkr8sbhs5h d1qdNyxkh6vSc0SdH2hjDt5wq/cidvCKCofERcWDyY473AMfYFSir4qeds8EpLjcqP/TuCMkHKto 4pTLLiNj64suVfh7VKixnqBWJvlKRJ3SjPUnJBPSFiaKTtl9bK20gOAnYsoxUSmxkAGdR/BDAiMW En+MsohYyF5bhlRGmZYijuRZMP1JCptwbiJIKzoDGjLeBlj61epM7ppeGeNOqAb3oW4V1chLJjpl /aqV3ZQ4RyUKXlRCN63ck1IDOhzScsk6RsKkjMplbqzixAUvv02zi08I3ZRRafiKJ8ghMQeXlNdX JQvvVW7KMKTSSSnOdNELoWdU9/Ar6ZXFXlmoLH2lIOORz4T6v840oME8e/cRSGNHA531GivqhYzj v65AU6nF2FHKGyYl1bTUxiryhllGxUF9jajDinnDIqY7lasmagFC7Z9PYgpQK0Arjlh2KyUqPWIb C0NYprbi0Cvo6gr+PcP3d1QcxE2GZK3s72DiBhMqjYfhMQNsBuFsMGXePuAZfN/fwTjRlpD1Hkq8 vFzM8ioyUU+km7a/3jGR2ntZE4+AKKZ5Xc3sneXP3377jcDBvL2BJprJ25S+gYTJlCWMDtxzsQan q4OB41+G0+DNr3FAQG3VrsamZ5FY/OwMd9lrw+4Y9qQXvMwrwNaHG/11PI8d/bXdQJq32Gj1nxX6 w4q6V4tq1C3a0w+IgM9ZBgRw7LJr56Lts6T+7D7gGjsgxr7CHjvG3gVqAjB16lR2LvK2mx1ltvG5 pgxPPhkQ8DnLZLBigzoMPllg3xF/Dcd6hxY+mlGHDa5Gg7p7llYQ8HFHKzD2Q0i4xXtwjdlkCYUH GwrYRDRjxozBBbqEfiHg444a9oreU9TImSRt/I5jkEJb2d+BAmzx4B0tfwfLZmp2WA/4v3BhjUYC yyCQjnNejx1jZP1WYgfbljbffHO2PHKczP6opZU5i89f+uWL4ztnKaV4MCoEESuIrIH3C7i4tv2R gHv9yUB4ejoiRAgJFDhIqryS04y+oXUP0xmtimK/+OILUi5evJgNHWyX5kCEHcYpliC4dBWwQlRU w5ONHIExjR2ZnEAhzQEnnSNeHJE1yGAcmubTdmHzVQfYOB+8YsWK1Kh21ohNmcrL0UYehvQNc+bM sRhEtArvG3gJp/U50l7K/YPkiISptCztK7322msJNxzVhYyDWpfmIgFnoA2HZhvJqpSiNMUdA7CL IMciZkXunyijchnXDgJFlqMn6FBAtKOMRuGjzklfU9KgqAjryVL5VnF6KQXosAgTbkEcydVRjVKO aewoICjSKXgWAkNeHMzAwX9tDaaz1ZFzmGwYuvOqspQQgT9txYQM8mEGhmFIFAwcXQ/pG2hORtPA 8/C+QS8NxQHsONQCdhyiXh73D5JDEqYqboSenI8iavCalhHHaaedxkJplbVSjr2QJWyxBcWJN6yA GYwK5v1a2jFk8r/RMaxcuRKDirRBV0TeE2VUdxKRvNHlwLdEX8IbqDyiHWPWCREIGX2iclMeuUza IV6fl1LSqc+zo4DNTiFJ7TGNHWYz6955EtIcWMcoXpy77rrLGIOI6IsWLdKQgWXCKu2NNLSchQsX 4lUcIRMFQ0rfQDKoeqwztHvWF6yU8F1JwT3USsrCiUyCXR73T0TCVLEuKK9DmRCpKchW2VRKfXkp Qy4iqf2tXFRiSIkmZrA8lSA04lfov+ANA88wWWnHMG/ePJQR4SDDqOrcPyFxHAwy1p2EpRP0Z86c CSwMsjL7lUxmnYjRJ5M0yErJox2iROvGjA2vok0bJBvT2JFJUEQgYJMCl8JEyIvDeAHGIOELgQ2T fBonQYSvjEEseJNFG7SjCw4YXmcSCwgfrHcYaxGW5uGGDRtmzZqlLJic8ciqVavC+5D9qeIhd8iB JFAEORSacv/wMCJhauBA1bPQZnQwVLOezItAgMJi0NCVFx/16+rVq+ENW758eSa5aUHHkPK/8YTe nn4bsWvWrJH8lLnOMn777beZBHSMhqZNm1YMS8qsEzH6ZDLmmcwqtENKPNT/+hzT2EGYwDz4Je2f t4yibIku2jNxBPJIPB6voqeyBPzEE5sr4i7cczFCIRyQTINzraRaLiRQ7s0338ywRdLUOZx55pkW HZDMT/oa3hf7YvrrDjvssG7dOgLN/Pnz4ePKXJKg31asRGcUCytYt7gq6akOARc8SZzZ6nhOz/nB Bx8wMFm6dOns2bO5yYuVJOYAHnWkeRAWqaPpUNoxZPK/wd9F6eRlbMh0w9iYQ+a6MGMebR1QixWN WJnZrwC1hmnsrDOdU2lhuRG8ljiitsPrUjY88lZkpatixP9JU7Ef82QTgABELFZKeN9W0RjeRIX3 bclvIGcYKpVy/6T8bxW5f8KMEYB8FQuZ/ojD6NFSTjktGIukJ6TOM54e4/UhDWIjvrKQPSgl/hGR j1HSGTWR2nxdVrpig/r+jtrRtr8ZWtnf0W71O6hSuxWcxNLGdM4yiS3qVXMEJgYBH3dMDM6dKCXa Uz/yDeDpHv+Rq9QJO/VECY8dPTFU22p2ZAN4R9RoG92xkOdzlrEws1fSEWgdAY8drUPqAh2BsUDA 5yxjYea0kulaw6iA8DWOUSE/YLkeOwYE0LMPhICvdwwE30gze+wYKfxeuCPQWwR8vaO3pnPFHYGR IuCxY6Twe+GOQG8R8NjRW9O54o7ASBHw2DFS+L1wR6C3CHjs6K3pXHFHYKQIeOwYKfxeuCPQWwQ8 dvTWdK64IzBSBDx2jBR+L9wR6C0CHjt6azpX3BEYKQIeO0YKvxfuCPQWAY8dvTWdK+4IjBQBjx0j hd8LdwR6i4DHjt6azhV3BEaKgMeOkcLvhTsCvUXAY0dvTeeKOwIjRcBjx0jh98Idgd4i4LGjt6Zz xR2BkSLgsWOk8HvhjkBvEfgnfVsxaEg14IgAAAAASUVORK5CYIJ= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0011_image187.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/wAALCACeAhYBAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APUv+EZ0D/oB6d/4CR/4 Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD07/wEj/wo/wCEZ0D/AKAenf8A gJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9APTv8AwEj/AMKP+EZ0D/oB6d/4 CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD07/wEj/wo/wCEZ0D/AKAe nf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9APTv8AwEj/AMKP+EZ0D/oB 6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD07/wEj/wo/wCEZ0D/ AKAenf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9APTv8AwEj/AMKP+EZ0 D/oB6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD07/wEj/wo/wCE Z0D/AKAenf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9APTv8AwEj/AMKP +EZ0D/oB6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD07/wEj/wo /wCEZ0D/AKAenf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9APTv8AwEj/ AMKP+EZ0D/oB6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD07/wE j/wo/wCEZ0D/AKAenf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9APTv8A wEj/AMKP+EZ0D/oB6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH/QD0 7/wEj/wo/wCEZ0D/AKAenf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOgf9AP Tv8AwEj/AMKP+EZ0D/oB6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Uf8IzoH /QD07/wEj/wo/wCEZ0D/AKAenf8AgJH/AIUf8IzoH/QD07/wEj/wo/4RnQP+gHp3/gJH/hR/wjOg f9APTv8AwEj/AMKP+EZ0D/oB6d/4CR/4Uf8ACM6B/wBAPTv/AAEj/wAKP+EZ0D/oB6d/4CR/4Vp0 UUUUUUUUUUUUUUUUUUUUUUUUUUUUUVkWnirQb2DzotWtAoZlIklVGBBweCc9RU/9v6L/ANBex/8A AlP8aP7f0X/oL2P/AIEp/jVG28b+GrrUrjT4tXtvPthl9zhV/Bjwevar39v6L/0F7H/wJT/GkXxF ojruXV7HHvcKP60v9v6L/wBBex/8CU/xo/t/Rf8AoL2P/gSn+NT2uo2N8WFpe29wVGSIpVbH5GrN FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFcH4E8N6JqHhpbm80q0n ne5m3SSRAsf3jdTXR/8ACHeGv+gFY/8AfhaP+EO8Nf8AQCsf+/C1k3/g3TrXUFvLLQbC4t2TbLA0 QBX/AGl9/amPpWhyoY7PwfEbgj5fPtQiZ9zV7S/AugWenxw3GkWUsoyXYwg8k5x+HSrf/CHeGv8A oBWP/fhaP+EO8Nf9AKx/78LWNpOm2Ol/E67hsLSK1jbSkYrEu0E+Z1xXZUUUUUUUUUUUUUUUUUUU UUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUxJYpFLJIjKpwSGBANOVgwDKQQehFLRRRRXF3cWr61451L TrfX7rTra0t4XRIEU5LZyTml8BXtrpXgOOa+uljjjnmDSyHG4+YRn6muxR1kRXQ5VhkH1FOoooor LPiTSF1caS14ouz0Qg4J9M9M+1czqem3eqfEyaO01a401k0tGZ4FBLjzDwc1q+BLy+u9Gul1C8e8 lt76aATOAGZVOBnFdLRRTWljRlV5FVnOFBOC30pdy7tu4buuM80tFFFFFFFFFFFFFFFFFFFFFFFF FFFFFFFFFFFFFFFFFFFee6uz6PqN54ctw0a63IDbeXwVz/rGouljstUuFGu3FrJp4jW3thNhJeBn K980xb+V72fUpdauIb+OZUSwMv7th6bK7PU7zVLewim03TVvbhiN8TTCPaMcnJrI/tvxl/0KMP8A 4ME/wpDrfjLB/wCKRh/8GCf4Vxnhvxr8Rru+kik0D7VErnG9fLxz03HrXV+G5bqfx9rUl7bC2nNn bbog4cLwe4rAXTf7S+Dt1Glq1zPHcSvCqjLBvO6j8M16VZArYW6sCGESgg9uBU9FFFFebXGnX0vi WNxBP5keorKYWiJh2D+IN610EH/JVLr/ALBKf+jK5zTG8WroWp/8Iwlq0n9q3GfP9N3OKt+HYPiV eWkjajqVlYur4VZLfzCw9c5rX/szx1/0MWnf+AP/ANeiK18c21/ZvLqen3tqZgLlFt/LYR9yDnrV vxfZs+nxajCq/aNOkEyMf4V/i/SuJv7+41NH8QW1xNEmouI4Y/tHkfKndXPTPp3qFvFF3L9hvv7S nMUOFJEuwj5sfNH1k9M160p3KD6jNLRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRUbQQv MkzwxtKnCuVBZfoe1QyaZYTXIuZbOF5h/GyAmg6ZYG6+1GzhM/XzCgz+dWqKKQAAYAAHoK5K90vx NZeLb3V9Gh0+eG8gijK3LsrKUz6fWn/DTf8A8IZCZABJ5828L0B8w5xXV0VS1f8AtP8As6T+yBAb zjZ55IT3zivM7zx74zsv7X8230zOjlROA5y+7pt9a9RsJ2utOtrlhhpoUcj0JANWKK8+upfEyfFC 9OnWmnSN/Z6hPOkYZj38E4/izXReDtIv9G0meLUjD9puLuW4YQElV3nOBmt+iikZVdSjqGVhggjI IqvLp1jPbrby2cDwocrGYxtU+w7U06TprSJIbC3LRjCHyhwKt0UUUUUUUUUUUUUUUUUUUUUUUUUU UUUUUUUUUUUUUUUUUUUUUUVyN7qXia98XX2kaNcWFvDZwRSFrmJmZi+fT6U/4aBx4MhEhBk8+beV 6E+Yc4rq6KpavcX1rp0k2m2YvLkY2wlwu78TXl2q6Dq2u64NTn8KnzgVMsEV8myUr03DvXrVuCLa INGIiEGUHReOn4VJRXC6nFrUvxMmGiXNrBKNLTzDcxlwV8w9MVt+DdXv9Y0meTU/JNzb3ctuzQgh W2HGQDW/RRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRWT4nvJ7HRJZbd/LYkKZMZ2A9TXLxnTfDXiD ToY73VnkviB5juZYJSRnGSflrM+yajNJcX+kyaidRivSTJNMfs6xg/MMdOlW9CafRNUlvNXEm+4j kkhlS9aWMrnup4XtVTU9Eka98NNdalqAl1S5dboR3LKrLgkYHbtW/eNfaf8AEHQrBL920+W2lAgP XKgck96ji02PWprvVNQ1a5tJ7ebbGqTFEiAPGV6HNY0msaq2vXtmt1I2mSSKs98p/wBWD/dHbPrW ra3M8mqnS7a9kYLcK4JfJaJR6+9MezGo2Ooa/c6ldJe2pcxRJOURNo4Ur3rEluL/AFGxHiK/uriO MW6OJbS4INuw67ou9blhYR69bPrV/rV1bzxbdhWUoiDAwSnQ5/rVrU7vUIvG/hxE1Iy2N2kgMarg MVXO73qpdvcaz4iltZL6aHTbmQIrRSbT8vBUEdCTRqcS6I9lY2OszTRi7G6N5dzIPQt3FWvHWpal Y3mlvpCmednIWFXwrn3rLlupzZW8yalO1xdbzcfNjy3wRgDsBRout6gt1omj6ncOLwAuH3cTx9if U13OsTanb2LSaTaRXVwOkcsmwH8a4XTfEPxJufEE8MugWyW6x/Kkr7Ez6h+/0re/tHx3/wBADTP/ AAMP+FYl14i+I8PiFbVfDts8JQHCSZQfV60vDMl7L491p9Rt47e5Nnbbo433AcHvWHpiWzeBbf7X e3MQNxcLDb2z7Hmk8w45ru/DUOoweHbKLVpBJerHiVgc8/X6YrUrmviFdX9n4MvZtNLiYbQzRjLK mfmI/CuH1Xw9oWj+FrTX9Dvr46hKyfZ389m81z2Ir1eyaV7G3e4GJmiUyD0bHP61NXFXmhx3fjqD 7Le30Zi/f3OLk7PZNvvVyD/kql1/2CU/9GVz2jz+KotF1QeHbS1kP9qXHzzPgj5uwq9oOo/Ef+zh 9u0axmm3HLyz+WT+AFWr/UvH66fcNDoOnCQRMVKXRZgccYGOT7VX8HXnxBmSM69ZWvksMlmOyQfg K3PFlxMlpbWyTm2jupRHLMpwUH17ZrjtVkstFu7nRLO81lHlgZ8SuXWQDqUfOQaRdP1G31G1tra5 1G20S+VY5zdTEytIeflJ+6MVo293cWlnLpCalJIsN8RHM7ZcRKcspPfA71W8N+JLhvF0lxeS3Edp qbNHFHN9xXU4Xb9RzVdtb1LSk1GO/u5GtLu9C2s+7mNs8rn0qW9vZbW0PiaTULlLi2ulhMKvlXTp t2ep9as6Vp03iGR4tZ1G7g8lPMRY5jGTk5DH1wOMVp29w8erW8EWpPdxJayDeDwSB39653T9c1Ky tLHTdTu5GkuLwtaz7uZEz90mtK98aanFr+qaa9jJHBFaJ5TbeVduAxPpRql1qOn3FrbC8lDyWoWZ yc7SerVoLpNtoMMws9elWS4gP7u6nMgZuxBP3a5R1uNJH2W4vb6C6urZy8a3BmSfHVkb+HFbGl2G o2LXE9k99FpT2GZPtspaRpj0K56DFO0+3j8PQaXqNtqN1LJd8XEU9wZQy9yAemKpaVrs194puDfT XUNhq7NbIrMVVGBwuw9iRV/wRo8cfiXXGa+v5v7Ou/JgSW5ZlClc8g9a76iiiiiiiiiiiimTQxXE LwzIHjcYZT0IrIsfCGg6dcx3Nrp6pLGCEJdm259ATWnb2VtaxPFBEESRizKO5PWs8eFNDEc0f2BC s6lZAWJyCckdeKtz6TYXMlnJNbK72Lbrcn/lmcY4/CnTabZ3GoW9/LAr3NsGEUh6oG61SvPCuiah dm6urFZJWIJbcwyR6gHFWRoumhblRaRhboYmHZxUdr4e0myvkvrazSO4SLylkBOQnpUN14S0O81A 389grXDEEtuYA49QDikvvCOg6jc/aLrTkd+M4YqDjpkA4pbrwnod7cfaJ7BGfjoxA46cA4q7Npdj Pd2t3JbI01nu8h/+eeRg4qs3hvSH0/7AbJPs/mGTZk/eJyTnOaryeDfD0tgbF9NRoC/mFSzZ3euc 5qay8L6Np6wrbWQQQMXjy7MVJ78mpG8PaS80kzWUZeVt7nnk4xmnPoWlyXVpdNZxmayBW3fvGD1A rQooori7t9Z0bxxqWo22gXGo215bwojwyKuCucg5qn4O8NaR4k8H2cur2AmeKecorMQYyZDkcHrX c2Nlb6dZxWdrH5cMQ2ouScD6mp6z9c1SDRtJmvrmGSeJBho403E59q8/vPibZC9sV0/Q7mS1R8Sx NakFc9GXjAxXpsUgmhSUAgOoYA9eafVWDTbS3vri+ihC3Fzt82TJy2BgVxF0vic/FC9/s2TTA39n rs88P/q9/GcfxZ/St3wLpupaZo91Fq0SR3Ut9NK3ln5WDHgj2rpKKKr31ja6laPaXkKzQyDDK3es 228H6BaMzQ6eoLKEJZ2Y4Hbk8VoXmm2eoWf2S6gEkPHyknjHSqZ8L6KY0jNgm1A6ryejjDd+9WH0 XTZLa3tntIzFakGFcfcIGARUdz4e0m7sms7ixjkgaUSlGzjeOhqC98I6DqLO11pySeZjdliAcdO9 S3/hrSNT8v7XZrJ5SbFwxXC+nBqaHRtOt/K8m0RPKj8tMdl9Kjm8PaTPHaxy2MbrZyebADn923qK sX2mWWpReVd26yruVueMlTkcj3pLjSrG7leSe2SR3Ty2J7r6VUs/C2iWDStb2CKZU2OWJbK+nJ4q G38GeH7WVpYtOQOy7SSzHA9Bk8Vrvbwy2xtnQGErsK+3pWLZ+B/Ddg0httMRDIhRsux+U9QMnitF tF01rS3tGs4zDalWhXH3CvQipLTTbOxnuZ7aBY5Lt/MmYfxtjGTVqiiiiiiiiiiiiiiiorm5hs7d 7i5lWKGMbndzgKPU1k/8Jn4Z/wCg7Y/9/hR/wmnhj/oO2P8A3+FKvjLw0zBV1yyJJwAJhzW0ORkU UUUUUUUUUUUUUVz2qeNdN0rVpNLe2v7m5iRZHW1tjIFDdMkVV+GjiTwZDIAQHnmYBhgjMh611dFc t8SNQvdM8G3Fzp8xhuRIgRx2y2K5e+8Za/BpUNneI9prsDq0apFujvVPYHtXpls8ktpDJMmyR41Z 1/ukjkVLRXB6trtt4f8AiRcXV1b3U0baUoP2aEyFAHJJOOgrrND1q28QaXHqNmkywSk7POj2Ej1x 6VoUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUjtsRm67RmuEvNS1F7STX7jxL/ZdqJCkdv5IePj+8cZF LrGr3d5qFotv4iawgks/NDW0IkEze2arL4t1+aw0yMpFb3AkAvmfGQM4AA9SOa0PEnia7086j5Ny sUeI4LVwucSt1Y+wrN/4THWX0rRrm2ZbidJvLv41XlwOCR6etacer6jrtvftpupCKJZPkkRQzqPR Qep+tRWmq6nq3huytHuY2vLm5MMsnlgkRg4JKnjPtVLwto91da3rEV3d295Hps5gjhkso1WTK5BY getLpF6JvDeoXd7pGmfbYb97VAtuuxMHA7dBR5Vl4e1WCDUdWsLqadci2nsUQg4yNhA55q5pj+If EqkjWH002ww6xxKxdvfPQVFJ4h1nWPDNtPYfaIpFunguZbZFZwqnBYKe1N0zU9a1hv7Gh11Q6OS1 6kQ80KB91lPAatW8h1u00porrxDHBHCSXvVjBlI7Db0zWf8A8JHr1v4Z0ye6j2Xkjt5wZfmMeSFY jtng1dgt/EVnanU5ddW5WSFna3kjVVjOMjaR1/Gp7TVb6TwJJqUk2boRMwk2+h9Kw9K8X6pqdg16 WNutnGMxSKA1yx43ey/Sp9QvNc8MW1pqN1rD3630qQ+Q0SqsRfoVI6gVoy6tfjRdLlFztluZjG77 RyMkVnaZFr58e3elTeJriW2s4Y59pgQb9x5Un0rrtU1ex0W0N1qE/kxDvtJ/lXK2nxc8LXWpy2Zn lhWNciZ4ztb2AAzWl/wsTwn/ANBdf+/T/wDxNZsvxb8LRasLHz5XUru89Yzt+mOtS+G9QtdU8f63 d2cvmwPaW2GwRng+tZWif2mPAKPp181p5c9w7GNA8jYkbgA9vWu08OX02peH7O7uXjeeSP8AeGPp mtOs/W9LOs6XJYrctbFyP3qoGIx7HivI9dh8Radca1jXbucaQkbQO9uCX3dRn29q9i0yV5tJs5ZS TI8CMxPclRmrVef2fijUNQ8RrcCe6g09bo25BiXyCQcYLdc1Z1U/8VpreP8AoXm/9CNVrLx7o/hf wXpC3nnSymzQiOKMknj16VoaX8UfC+oafFdS3ptXfOYpI2JXn1AxU118SvCtvaSzLqYkMaFggifL YHTpUHhr4m6J4llWCJLi2mbossZwfxHFb2uaq+l2qfZ4RPdTtsgjJwC3v7Vhz+IvEenWtx/aFjpz TqmY2gmJQH0bPIqK38Z6rbXF1aanp0FxcxWZu0GnsXXb6EnvWjbeKZLnwtp+sJajzb5kVYs/d3HH 6VHo3i86p4lu9LMEawIpNtMrZ8zbw2foaqW3jt5hqcMlokVzZThI0YnEqE43Cr7+Knj8U2eivZMi XFs0/wBoY/KcDoKhbxPqd3aWqadYQm+uixRJ2IREBxlsc06w8RawdWbTNV0pLeVIWkMyMTHJgfw5 5qppfjttR01JTaJFdC68iWFieBn7w/CtrVtZmtri3s9PiiuLq4VyFZ+FwMjOOxrLuvGrWvh211D7 Ks1zLN5MsUZ4Qj7x+gqS18dW01tPLcafc2xiTeqPtJlHtg/zqv8A8LBAiDtoV8ruR5cZZMv+tTXX jCeI24XTHheaLzGSc8p82MHHr1qW91rXLjUZbbQrK0lS2A857l2GT/dXHf61j/8ACc65NqEsEOk2 8LWxUS2lw7LO+e6fwn2q/rHiXXLbULiOwttPFva24nl+1SMJDxkqAO9MtPFWua9bxXmg6bbNbCNX lF0zK5J6qoHf61q6Xr1xfa7cadNaCAQxK/J+bJ7VmXHjkw61daMlskl8H2WsYJ/eepb0Arq4DMYE NwEEu0bwn3QfapKKKKKK5q98D2V49yBf30FvdHMttFKBGfXjHetO10GwsrmGaBCvkQ+Sifwhaj1H wxpOqOJLi1XzBIJC68EketV4vB+mx3cdw7zyhJXl8uR8qS3qPbtUkPhTS7bVJNRhR0llkEjKD8pI GOlVYPAulWi3AtJbm3M9wbjdHJgox6hfQVbsvC9jYX0F5HJM0kKuvzPkMWOST71Y03RbXS7zULu3 Mhk1CbzpdzZAbGOPSoLXwvp1rp99YqJHivpnml3tkhm649Kybn4daffJCl7qWo3ItyDD5kwJjIPB Bx+FaN/4Ut7y7FzBfXtixTY4tZdgkHvxTpvCti9hb2tvLPZ/ZjlJYH2vk9cnvmqsHgewtrcrDeXq XDTGZ7sS/vXYjHJxUV58P7C9tIreTUdRVo5TKZVn+d27EnHOK0LbwvbQypLPd3V46W5t83Em7Kk5 yfeq8XgyzSRfOvr64iRWVIZZsoufQVFY+B7exiltxq2py20qlTbyT5QAnsMVcl8J6bLHaofNX7NG YwVbG9fRvWoLTwZZQTRvc3l5fRxEmKG5l3onpgY7Ulr4KsrfUY7pr29njhcvDbSy5ijJ9BitKHRL WDX7nWkMn2m5hWJwW+XavTAq+yK67XUMPQjNUYdB0m3vnvodOt47l12tIqDJHpVzyIf+eMf/AHyK pvoOkyah9vfTrdrrbt80oM49K5u21LTtL+JOti8vLe0DWlvsErhM8HpmoPBejwax4RtJvtM0LR3E 5SSB8blLn8xXZafYQaZZR2luD5cY4JPJ+tWawvGesXmheGbm/sYfNnTAHGdoJwWx7da8zi8SeIPt s0669Bq9nD5e5FtjslZv4B717LbOZLWJzH5ZZASn93jpUlYP/CI2P9oPdefc+W8om+zb/wB0HHcC uR17xFZWvjnWYTBeO7aObULHbs2XJOMY7cjmuu8L2Eb+DdLt721UsLRFeOVORx0NatrptjZW629t aQxRJ91FQYFOmsrS4heGa2ieORSrKUGCD1FNs9NsdPgWCztIoI0GFVEAwKq67pMmq2arbz/Z7qFt 8MpGQre49K5iTwBeX+rSapqN5afaGhMR+zxMofPUsM811MWi2ltpstrawxwtLCYy6j2xmsSDwjf2 ui6Xp0Ooxj7BA6Mxj++5+634Uy38AwWI0+exuTBeW0nmSyAcS5+8MehPNN1bwG2o6d5Ud8ILtbsT pOq9s8qfWrWu+FbvU7+0vLS+S3ktrSS3XcmeWxz+lWbvQboR2Uum3UUF3apsLyJuVxjnj61WsPDO ppq8mqalq32maSNo/LVcRoD02jtVW78BtO2lyw3whmspS0zKvEyHsfetHTfCkOmeJp9WiceXJAsa oclgc8nPpVJ/BLNrN/eC7X7PPEUgt9vETN95j65qNvAMNtBNHprRQi4txFKrKSCc5yKrWHw7h82N dQtLD7On344UYeYffmtSXwnJI93i5jSN5ENuoT/VIo5X3qW70TV4r6e40bUILYXCjzFliLfN6is7 VvCGt6nbGzk1e3khfbvllhJmU99rDpWrF4WtRqrXt0FuR5KxKHGSMdzUNxoOr21zcNoeoW9pBccs kkRYqfVfSqZ8M+IbPWGv9N1i2/exqs32qEuzY64IqV/BfnXN/eTXEf2u6KvFMqYMTD+ldJaJPHaR JdSLLMqgO6jAY+tTUUUUUUUUUUUUUUUUUUU1pET77qufU4pvnw/89o/++hR58P8Az2j/AO+hR58P /PaP/voUefD/AM9o/wDvoU8EEZByKWiiiiiua13UvBltqJi119O+2BASJ4gzhe3Y8VB8MzG3guAx Y8szzFMdNu84xXWUVQ1uHULjSZotLkijumHyGVcqfYivPdVvNd0jSk0/UPDttB5sqMlzpi5QNnqw 7V6Zakm0hLHLGNck/SpaK5WORU+KV1vcKP7JTGTj/lpXUqysMqwYeoNLRRRRRRRRRRRRRRRRRRRR RRRRRRRRRRRRRRRRRRRRXMajq+t3N9cRaM1hbwWfE017nDN6DHT8azrnxL4hmnjitJdJttsDSSmd y4bH90qakm8V61c+FrXWbKzhttyF5vtIODg42gDnnHesvxRqSazo0t/LosN3JaQxtEjyNje/3l+U 84xWPrUnhHTLLRbqPRbd474brkmWQiMdOOf73Falv4OWOI3154esJLR4mkSOGWQSRcZG4k8/hV7T fCvhq98Mf2rJokIl2M20Sybcj8awNG0nTbm+sUvtM0ueK8zmO1eUSRAd2yeldboXiSZNSuLC6t4b WwiVhY4JyUj4JJNInja9is7aabRnlludziNJVTy4wepJ6+tPt/iDbXNzEV0+UWMrKguzIuAzdBt6 11Nxd21nGJLq4igQnAaVwoJ+pqt/bmj/APQWsv8AwIT/ABpP7d0f/oLWX/gQn+NY8HxG8Kz6hJYD VYkmRtp3HCn6N0qrpIs7/wCI2uSgQXMZtLba+A46HoazvDuuHQfh8stvbm4umuJxDAv8R8w/kK7b SbuS+0q2uplCySxhmUdAauVzvj1dVbwjdjRzILnjPlfe2Z+bHvivP4rnWdVujqWjTata2VpFFEEm T/WMOuQR+tevW5ZraJn+8UBP1xUlcUPFeozeM30pJ7O2tUkChZoX3yDvhvu5pmoaHpuvfE2aDU7Y XEcWloyAsRg+YfQirHw/a20/QL6JpVhgh1K4RPMk4VQ3Aya6m0vbW+jMlpcRToDgtGwYA/hUsjrF G0kjBUQFmY9ABUVte2l4ge1uYplPeNw38qr6vq0Oj2fnyI0rsdscSfekb0FYx8Y3VvbTPf8Ah68t JkXdHEzK3mewYcA1FF46kNybC40G6g1J4vNgtC6s0qdM5HA59at2fjG1utKe8e1liliuvsktuSCy S5xjI4NTad4ptdS1+80hIZEktQCJG+7KO+PoeKr2vjawu4tQMcMgl0+fypIiRk84DD2ps/jWO2vI Fm0y4jsZ5RCt4xAXzD0XHX8ag1bx0+naUuqw6HdXdjnDSpIq7fm29D71JP4zuba1SWXw/diUKZJ4 RIpaGP8Avn1+gpW8a+fIJNM0i51CyAUyXUTgKmfY8nHtUj+NtOSe4gMcnnRYEcf8UxPYCrUfiWE2 V7cz2skH2FQZUYgnJ7VRPjzTo7y0t5oJYlupfKWRiNqnGRmnar4xk0+1urm30a4vYrNmE7RyKNgH fnrUmn+K2urC0urvSp7I3sipBG7hi4Izu46DFRXHjQwzyyJo11NpsDFZb5CNq46/L1OKZH44EgF4 ukXI0g/8xBmAXHrt64rYj1qGS9ubZI2P2eETb88MPasHVPHs2nW1vdR+Hru5trh1jSVJVHzscBcG uosLia6so57i0e0lcZaF2DFPxFWKKKKKKKKKKKKKKKKKK5vVPDF5c3txNp+ox28N2mLiCaASq57H npUHh/wDY6IsKuyXKxq3yvHxuY5JHoPatPV9Fub50+yXwtowhjeJow6MD7djWbpXgr+zvDo0pr8y t9o84y7McZ4XFVo/hzaqZ1e5EkLy74omjG2JcfdH481dTw1q0ipb3eutJaRoUWOOIITxgZPfFM0j wzren2z2NxrsdxYlGVYhahWXP+1mnzeDglrbf2fdi0vII2jNwsYO8EdxTdV8Gf2loVlp325opbba JJ1TmRf4h7Zq7deGLS8v7SecB4bWHy1iI4PvTNP8JabZXk1y0EchaTdEu3iIdgK1NQ0yx1WAQaha RXUQbcElXcAfWs7/AIQvwx/0ArH/AL8ij/hC/DH/AEArH/vyKyrf4WeE4NSlv208SPIc+Wx/dr9F o0C0trD4ha5b2kMcEK2lthEGAOD2qj4R8P2uteEEaWSWKUS3CJJG+MAyGux0jThpOlwWKytKIV27 36mrtFFFFc9N4bubi7UTajvsVuBOsBjG4Ef7VV4MD4p3XI/5BKd/+mlc7o/gvTfFekamt9Ncr/xN LgARSkL97071o6N8KNJ0ezMMWpamjM252guTGGPbgVavPhxY3VlNbrrOsqZYyoL3jMBkdx3pnhb4 ZaV4YMc0N3dyXCj5mEpVGPrtrb8Radd3trDNYbDd2r+ZEshwr+oJrlrrw14m1fVpry43WcEkJV7X 7Z5iO57gfw4rV1TQNR0/TDL4dgjm1WVFiee5m+ZU7gGqltomuR6bawR6Va2rRtJLKv2jeXkx8rE9 yT1plh4J1HSZtP1Czut12kha6jkbKlX5ZQfrUeq+CdTmtHnsDDDftdh2y3yyRZ5B+lM1XS/GF7qL tJpFlc28KhbMNd7RGR/GR3NbfiHw9d33gdtGshH9oIj4Y4XIYM3P50appWrreST6fDDN9ptPIfzH 2+WQOo9ao6Rouv8AhTTjpej2dvcQEhxNLNgox+9x35qNvBV7LrF5rEzR/wBoDDWsynA3DqMds9Kt f2JrUkUokig3XlxG1yN/AQD5setRL4OnnvsXccTWvmlh83IGMA/WotO8L63B4S1zS7ySKa5uvMS2 fd95SPl3ehrUstG1BJ9JhuUj+y2ViEdg2SJsY4HpjvVU2Hiazsp9I0+2tTBIzKl28vKK3fb3IqrJ ovia60J/DNzb2rWjgRvfrLhmXOSdnY1bv9L1zTbx30WzgvY57UW7edN5ZjwMZ96ZqXhfUZ/C2l6d E0clxbXkM8xJwMKSWxXYUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUUVhar4L0HWdQa/vbRmuWUI0i SshIHQHBFct4J8W6DoHhtNMvrt7eaCeVTG0LkgbzjnHPFb//AAsbwr/0Ez/34k/+Jo/4WN4V/wCg mf8AvxJ/8TR/wsbwr/0Ez/34k/8AiaP+FjeFf+gmf+/En/xNH/CxvCv/AEEz/wB+JP8A4mj/AIWN 4V/6CZ/78Sf/ABNH/CxvCv8A0Ez/AN+JP/ia5ey0/wAL+MfiNfzQeZcwmxWSQiSSP95vx7Hp+Feg 6PothoNj9i02DyYN5fbuJyx6nJq9RRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRR RRRRRRRRTTHGTkov5UnlR/8APNf++RR5Uf8AzzX/AL5FHlR/881/75FHlR/881/75FHlR/8APNf+ +RR5Uf8AzzX/AL5FHlR/881/75FRrY2qXhvEt41uGTyzKFwxXOcfnU9FFFFFFFFFFFFFFFFFFFFF FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFcz/wnulf8+95/ 3wv/AMVR/wAJ7pX/AD73n/fC/wDxVH/Ce6V/z73n/fC//FUf8J7pX/Pvef8AfC//ABVH/Ce6V/z7 3n/fC/8AxVH/AAnulf8APvef98L/APFUf8J7pX/Pvef98L/8VR/wnulf8+95/wB8L/8AFUf8J7pX /Pvef98L/wDFUf8ACe6V/wA+95/3wv8A8VR/wnulf8+95/3wv/xVH/Ce6V/z73n/AHwv/wAVR/wn ulf8+95/3wv/AMVR/wAJ7pX/AD73n/fC/wDxVH/Ce6V/z73n/fC//FUf8J7pX/Pvef8AfC//ABVH /Ce6V/z73n/fC/8AxVH/AAnulf8APvef98L/APFUf8J7pX/Pvef98L/8VR/wnulf8+95/wB8L/8A FUf8J7pX/Pvef98L/wDFUf8ACe6V/wA+95/3wv8A8VR/wnulf8+95/3wv/xVH/Ce6V/z73n/AHwv /wAVR/wnulf8+95/3wv/AMVR/wAJ7pX/AD73n/fC/wDxVH/Ce6V/z73n/fC//FUf8J7pX/Pvef8A fC//ABVH/Ce6V/z73n/fC/8AxVH/AAnulf8APvef98L/APFUf8J7pX/Pvef98L/8VR/wnulf8+95 /wB8L/8AFUf8J7pX/Pvef98L/wDFUf8ACe6V/wA+95/3wv8A8VR/wnulf8+95/3wv/xVH/Ce6V/z 73n/AHwv/wAVR/wnulf8+95/3wv/AMVR/wAJ7pX/AD73n/fC/wDxVH/Ce6V/z73n/fC//FUf8J7p X/Pvef8AfC//ABVH/Ce6V/z73n/fC/8AxVH/AAnulf8APvef98L/APFUf8J7pX/Pvef98L/8VR/w nulf8+95/wB8L/8AFUf8J7pX/Pvef98L/wDFUf8ACe6V/wA+95/3wv8A8VR/wnulf8+95/3wv/xV H/Ce6V/z73n/AHwv/wAVR/wnulf8+95/3wv/AMVR/wAJ7pX/AD73n/fC/wDxVH/Ce6V/z73n/fC/ /FUf8J7pX/Pvef8AfC//ABVH/Ce6V/z73n/fC/8AxVH/AAnulf8APvef98L/APFUf8J7pX/Pvef9 8L/8VR/wnulf8+95/wB8L/8AFUf8J7pX/Pvef98L/wDFUf8ACe6V/wA+95/3wv8A8VR/wnulf8+9 5/3wv/xVH/Ce6V/z73n/AHwv/wAVR/wnulf8+95/3wv/AMVR/wAJ7pX/AD73n/fC/wDxVH/Ce6V/ z73n/fC//FUf8J7pX/Pvef8AfC//ABVH/Ce6V/z73n/fC/8AxVH/AAnulf8APvef98L/APFUf8J7 pX/Pvef98L/8VX//2X== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0012.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
The switch is connected to pin 4
When you push it the input goes
towards ground, in digital terms
it reads as 0
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0012_image188.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAGEAAABXCAIAAACbR7xEAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsMB2mqY3AAACMlJREFUeF7tm0uojl0Uxx0zlEImkonBIUki5VZ0ZEIZMGEsPgNGDFwGJhi4 TI4USUiRMGJACuUyIcnApeQ6xKeEoe/3Wr797fPc9t5rr/ec59O7B3qcZ+211/rvtdZeez3r7fv5 8+eo3mhEYHQPnyACPYyCEI3qYdTDKIxAmKJnRyOEUV9fX3jloRRMaZh17969VIaG9H3dOPsVGBmq 5LMy0a5bvoZwSePu3buDg4N1U9avX5/ETYitcO8WRqnyLV68+ObNm5U+xR+nTp2aytCSXrE/wSnI F6QpE7x//37NmjVY04sXL+Ttp0+fLl++zB95UDDUiVFeqFvxSG3qWM2dO3e+fPkihrBixYqlS5eO GTNGYReERbUY/nI2XAoKWAmnwGWIbkYYtSUeZcLR1elmdlQ4702MPFNzK5Es7chFu0zdDKebiGSJ kaFurWLVXYy+f/++bt2658+fd1vnd+/eLVq0COc6ceKE/VqKvKNyip+MyPPHjx8XLlzI87Nnz6xW qeNz8ODB8+fPf/v2jeXevn3r8mzf19QyaJI98jqX5lUKIRghMeIOD0YPHz5kP/76NRpEklePHz8+ c+YMt59I1Ebdvn07krQQ/2bOnLl3715WkiS4bEduM4fHjnbt2nX16tUGO7p+/ToCKzxxNBbhpkmB IjiEHs1ZcsmSJZMmTTp69KhibcMpr169Ih6tWrVq7dq1r1+/ruQ8btw4/s7WurcxxtEhxo1jSH0a WWPWrFlHjhzBjgjMI25HeIPzNXy8OR5hFkm+1gfHsWPHJm3plStXFixYULiL+/ePFt5FckSyzLNd bp0jUNJuNRNbbVu7MMJtb926VdacQKPAro0Y+Wro7mtkm35M9YNrKkblerFOJNY1y7PLQT1VK+hn zJghfDjIJZmQB8UoHCNqgCwxUqjxf5liZke2Cs+ePRuGW7Zs2b9/PymPLfNkbqnJUQy9uEnOICPh VgEfsh53/0plmC+GrGh5ruXHbPNzLV8kOFhilJ8fGZ5rHd28enZOytYujJIjReOEPxmja9eu3b9/ H/UnTJiwfPnyefPm6bCzwig3uPoFk8Kz1HRQjxwnPtweP368gEhM9YYam5vl6P2YXYjffO9k1ElF gUku6r/vxgU63nGV50IPU2ppdVx4NTAwwDdVx64sEAcTMEmQiiwhSU0OTOXuzn85+AE6EmLqR9DX 3ftRDWmRedmyZc3aCdxbt25FTaD8z44olW3cuFFn1Tt27KjbNNDhFXXbGD2F2DccSjeRRzjQgKa/ GQWR9u3bp3DbDiYF0SORpqaHBJgShRimFBJ/J5yUdWKcRcQQO8IAFXaEEfmF2jqRpHhEI0qDlyDD 7t270fF3ibVue7Gxhk4EXhX8uWxHEo/E3eKHxCP+ZYoYUSTEUqv1F2qIR/HydLBOom4gLgskAVtG Uq4MsdC7hxghy1HPCqP25keSc3P2p5ZJ3cZYnf0tvdOKnkTZY8eO6Y4RqR+57xc6JjLL0o58OSRk pg7CnKQdMoivRFYCDWClshKAnBg5dxGzeFQXLGNCiaORc60w4vOjwlp+PEoSo0BsZke+YlmbpjCY milWYrQrHklrA71+ojUXt0OHDhG87XBTccoxwrq5aiOXPJsh+ZF8m0667pm4fNFnW4iRJFZ+GqkT Ur1V3cKoYMQ6rcSOMB/JtrlbgBfXVB03E5FY2jIeOU1UTt+Z5NLFTZs2gdSTJ0+mTJniZwOpnEUk MajUuY7e7FwzSUbM69kCTe4BpzPj8izf+eWZZMePLDELuZid6iZSaRL39M3Zt6MYASppan3tx48f nz9/rrNPXkHQbL0XL16kwoD0mzdvjjy/3XdaOdGALPI7LWvReImG06dPJ1lvFgzJm3/sxVtf9yJG Hz58oHVmw4YNhAbKGnWLUa+AYNu2bTdu3GBKJdn27dv5lHjgwAEKqep7aWQQQeZLly7hU+PHj6dn rHLWy5cvnWq0ljVwlsYzQDh79mxHO2ddXI7M65AYERZRKA/G2Lzr2nMf/ptnobD0jOJxrmLl3J8H CtA67SrqkBgq9Td8BL7N9WwIhhTrvG+zIhzmI0UvYkRknawMBAoXKmeVYLGEW8vR+xjJLKnW06I4 d+7cBtCZKK2eUvlvOtfwyYkTJ1baZPlV+VzjSrFz5845c+bA4fDhw5Huhljnzp3DALHr/v5+6XIM etyjR4/mz58vn7/dWk6k9p5rMT5VoBFnKSCi6NgsHGf+mauQyqw2Uj77FdLIKSEBhSgmCXd+bSQT I8s8O+gRQYLJkydDc/LkSa77Dx48+Pr16+nTp0m1gxPLBH4dUjF9yBTFbldOKcihZkt0F1acaJIZ Jn0v8NfNNB/Hyuwu4mOUGyM9XhgU/yPVUtiClRjt8jWpsZFSUF0TUP7+NRQAGU5pF0b8XIGPRfT3 rV69Giu4cOGCoap6VurA0ZyDZbIlCXANJCNehzSzI/+XOPod+3cmCSclJKI1aSFdSPkMszhkbrib 7h8iOQcKyRG40GciVxnXKKOQ0+qobVcOCTQoJr20TkMTX8vZNjNfqzNmDm+5IceMN2/eQHbq1Klp 06aRGWFKcgULDg5E5+w8B+mTCDQYUYihChWssSEHR7i0b0UOybP37NkDrFQdKAbVXaoLDJ8+ferM DXwjl4slU2SxtMsJd+l0kkakcjySLJnjKclZXJ4tnVqYEiMYjCoPwbJIfoWkobvKdWf9vhv7QTEW 1xJdZa+flI2Iu0kYBeGoJCDSy2azK3U9o+wlO8q+OvHr1hIC16WnidnS6Mdo+M0x0RdoGNL0mdrN looUtiZL+PW8gh3RfCI/Q0kane1PlQZ6ql/SA1l3gfSFGx478n9P25yOSD/kypUryz+/9yfyUc+1 DJvdaU2+ryXtcJDYSiTNuRYU7g8j6GEU3lBLX/NXk2xgBIfh72nNMPLhsCpuZUJsJUbP18Ib0cMo jFG3fC288rBQmITFrmA0LOqHF7GKR38yRmEU4yh68SiMUw+jMEb/AGaF9YEUbxAmAAAAAElFTkSu QmCC ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0012_image189.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/2wBDAQoLCw4NDhwQEBw7KCIoOzs7Ozs7 Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozv/wAARCABhAGwDASIA AhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQA AAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3 ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWm p6ipqrKztLW2t7i5usLDxMXGx8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEA AwEBAQEBAQEBAQAAAAAAAAECAwQFBgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSEx BhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElK U1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3 uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwD0Pwrp OmyeEdGeTTrRnawgLEwqST5a55xWr/Y2lf8AQMs/+/C/4VV8I4/4Q3RMHI/s635/7ZrWvQBS/sbS v+gZZ/8Afhf8KP7G0ocnTbP/AL8L/hV2op5ookPmSomQcbmAzQBTh03RLiFJoLKwlicZV0iQhh6g 4p/9j6T/ANA6z/78J/hXL+EfCHh288JaXc3Gj2skstsjO7JyxI61sf8ACD+F/wDoB2n/AHxQBa+y eHzemxFtpv2oJ5hg8tN+3ON2OuM96mOk6QvXT7IduYU/wrBvfAOiC5jurHRLBiqlZIpI8bx7HsR+ XNRnwRpd7LEr+HLOyhRw8hyGZwOcDHQHvntQB0X9j6T/ANA6z/78J/hR/Y+k/wDQOs/+/Cf4Vn/8 IP4X/wCgHaf98Uf8IP4X/wCgHaf98UAaP9jaV/0DbP8A78L/AIUf2NpX/QMs/wDvwv8AhWL4PSz0 86zYwGOCOPVJFihDY2jZHwB+ddPQBS/sbSv+gZZ/9+F/wrC1zStNS9QLp9qo8sdIV9T7V1Vc/r3/ AB/J/wBch/M0AP8ACt7bR+B9GnluIo41sYFZ3cBQdijGT71f/tvSf+gpZ/8AgQn+NZvhXTrKXwJo 1tLawzQPYwOUkQOrEopzg8davf8ACOaF/wBATTv/AAFT/CgCtf8AjLw3pk0MV3rNpG0+dmJAw4x1 I6dR1rKvIdH8Q+NLcyx2epW66VKy7gsqhvNTnvg1o33gXwvqM0EtzoloTBnYEjCDnHULjPTvVG8s W0HxHBeaXoEk1n/Z8kDJYpGu1y6sMglewPNAEHhjV7iy0vwlpiWyG3vrQgzFuVKJkAD+tdpXNeDr G1ufCfh67mhDT2tqDCxPMZZcH9OK6WgAoqrqN1PZ2Mk9vZS3sq4xBEQGbn1JArm9E+IEesatbWDa PeWn2mSaJJZSpXfEMuvB7UAddXM33ia6tfFdvpqRRG1eRIXcq2QzAkfN0B4+6RznrXTVSk0fT5b8 X72wa4BB37jjI6HGcZ98UAcJqOnae1prmpy2kBu4tfhC3LRjei74Ojdccn866ST4h+FIr9rFtYiM 6khlVGbBHUcDrXOrNf6mms6db6HesZddSRpWMYRArQk7vmz0XPAPUV3UekaZFfC+isLdLoAjzliA bnrzQBl/8J14a/6CX/kCT/4msHXfHPhk3qf8TaJf3Y4dWU9T2Irvq5nxLZWlzqMb3FrDKwhADSRh jjJ45oAv+Ev+RN0TjH/Evg49P3a1r1z+g2S3/gHR7V554g+nW48yCQxuP3a9CKoaX8OrXTJbqT+3 NZla5k3ki9dCOMc7Tz9TQB19c5rFxq8/iSHStO1GOxjNjJcu7WwlLEOqgckY+9VfVfAFtqdi1t/b etREsCHN874wfRjiq9np9toHjCC2bUJ5QdIl/eXtxvJPmp0J6UAXfBuo2Vv4V0KymvIUuprNDHEz gM/HYV01eeaBp9/faf4bxpKSabFBBM9wk6q7SL90kEZKr6DrXodAFHWbm4tNJnuLWW1hkjXd5l2x ESjuSRz0rgPBEFne+MZdUt7vR7iUh2kjtppSYy3VkRgAMnGTXbeKtGOv+H7jT1uFgdyrI7jK7lYM AR3GRzWdFYpeeMLGd7uzSbTLU+ZBAuGdnGM/7mO3rQB1FUpda0uDUE0+bULaO7kxsgaQB2z0wOtX ayp9Oku/EcFzNChtbSItE2QSZScHjrwBwfc0Acp5+r6dPrWo2Woxx2y64kT2rWwbfuMKsd+cjhvT tXf7l3bdw3emea8yvNc0mey1rTlv4zc3GvxGONSQzDfCDj8j+VdEPh3pi61JqqajqyTSKVbZeuCc nPXOce1AHVswVSzEADqTWBrxzeoR/wA8h/M1X1LwHZ6jp09m+r6yFmTaS1/I4/InB/Gsm48H2Gji Kztrm/aNYwRvunPc+/A4oA6fwj/yJuiYGB/Z9vx6fu1rXrn9AuprTwDo08NnNeSDTrfEUW0M37tf 7xAqhpfjLWb2W6SfwZqcXkSbV2tH8wx1+Zl/TNAHX1z/AIku/C0M0MWvxWss7Rs0KzWpmYLxkjCn Aziqer+MNY0+wNxB4O1OVwyjazRkcnH8LMf0pmnajc6j4yhuLnTbjTpP7Il/dTlSf9anPyk0AaXg fH/CEaNjp9kjx9MVu155o19cW2meGBb6k6/uoVltFxhkbgnB5b6g8e9eh0Ac18QLlLXwfdSPZi8B eNPIMjR78uBjcpBHWub8LJ4dh8YWf9m6LNb3bwSLN5s8rS2jgcq6sSNp7H1rvNW06HVdNltJ5pIY 3wTJEQGXBzkEgjtXCeGtM1u28XW9w2oXF5bme4Sdmnjk/chf3RYqM5JoA9IoorjbnWNQHjj7M8zR WsMqRLAso3Shlzu2YyRnIzkYx0NADdK13RLTVtY0rUp0E9xrBMULwswdtkZXBwRnIz7YzXaVwCyP HrSsiNIR4mmwinlv9EPrV+18X+IZNZntLjwXfxwJHuR0ljYsc45yQv5EmgDsK5/Xv+P5P+uQ/mar ap4r1ez0y4uYPCGpvLGhKqzREE++1yfyBrKn13WL5YZ77w3c2cxjGYjKjdzz1oA6fwjj/hDdEwMD +zrfA/7ZrWvWJ4Wnih8FaLJNLHGosIAWZtoz5a+taH9q6d/0ELX/AL/L/jQBbrn9Y03WDrsOraS1 i2yze2kjuy46srZG0H+7VrUPFWgaWIze6vaQiVtqkyg5P4Vj35t/EHiq3t4tTuDZjTZJsWV20YZx IgBJQ88E9aAL/gmJG8GaNI0aGQWifNjkcdjW/XHeF9cgsPD3hrTfKkllurZFyvSIY4LH3/WuxoAw PHEMVx4SvI5rtrSPCkyhC44YHDAc7T0PsTXI+CdK06x8Q2d1Y31lK10szSLp4IjzxhDk5wBzzzmu 08Wahf6X4burzTYRLcR7cAoX2qSAzbR1wMnHtXLeGbqTU/GY1Q6Zb/Z5xIlverbvFK6qByw6EH3o A9CphijMglMaFwMbtoz+dPrCuPEwh8VRaElsjFkDNK84TGc8KpHzdPWgDE8PaHeXevX95c6sXhsd ZllEAt1Xe/khcluvR+ntXcV580mqWEmtalZarJBEuuxxNa+TGyOGMKsSxG4ZDdj2rvBdWxuPs4uI jNjPlhxu/KgCWuf17/j+T/rkP5mt2WWOGNpZXVEUZZmOAB9awNbljku43jkV1MQwVOQeTQBJ4as7 S98C6Jb3dvFcwnT7c7JkDqcRrgkGrX/CL+Hv+gDpv/gJH/hTPCWf+EO0TPX+z4M/9+1rXoAwL/wL 4W1IRLcaHZhYm3gRRCPJ99uMj2rKu/7I8K+LbeRbRbKzfTJYwbe1YqX8xDg7FPOAetdpSEZBGcZo A5DwpoFhfeGNBv5FkWeOCKQMkhG7A4yK7CuY0/w74g0vT4LC18SwCC3QJGH00E4HTJ381Z/szxR/ 0M1t/wCCwf8AxygDeorB/szxR/0M1t/4LB/8co/szxR/0M1t/wCCwf8AxygDerNuNEhutQW6kuJy qyLJ5G4bC6jAPTP61T/szxR/0M1t/wCCwf8Axyj+zPFH/QzW3/gsH/xygDkLjV7C9tNa0uJppbi4 16NljWCTlQ8OeduB909+1dCPhl4XXWH1RbW4W4YHJW6kXk9TkHOe3WtTw5pV9pUV8NQu4rqa6vGn 82NNgIKqBlecH5fU1sUAczf/AA+0G/sZrR/tyLKu0kX0zY/BmIP4ism68HaJo5jtLK2kSIRg4M7n nJ9/au8rn9e/4/k/65D+ZoA8BtP+POD/AK5r/KpqKKACiiigAooooAKKKKACiiigAooooAKr3H+s H0oooA//2Q== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0012_image190.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAS4AAAC7CAIAAABU/uRQAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsMB2mqY3AAADl9JREFUeF7tnduC3SgORSfz//+cdo8nhOJmIQQCe9VLkhMQYknbAmyf+vX7 9+//8AMBCHgT+K+3A4wPAQj8SwApkgcQ2IIAUtwiDDgBgV/sFUmCPQn8+vVL7diJWU1VVIebjhMJ jOhwolszTVMVZ9LFtpbAJUV1ZbtlrO6udXm0H1VxlCD9zQl8sCReDPXXHvMAYBACN4G4rCWyvGtd rUGohCcWRqR4Xv7nRSNejOVZWMzmbaed+B9WquHzMJ3GrE+UIgvUbXPywbE8EUO5KPZMKsap0y75 Xbs2nbXQpSqel5N53QinFLWK4bVy04mheJW543T9V2wzXq+GBvkq94gYUxWPCJPUySs185PD19TD RIcBSnHWUmTbtKMqbhMKsSPF3WBSSYpH+et3UIoRi3vFdnXNz3LutfpZ9zOoimIF7NpQuAgUNttz lomokjJ4TS2Z3YmTRYp75p6xV8U9pPEYRuby7V+yCazVutOXqUjRKIOczEgu/wfpMKcYCy8R2/3P 8BP6KlbFTtH7MSxS3CEKGh/yVVnRSqzVu4tEvRqH7PqctcezmvdhW1uraR9tJ9FSnrjxiUVReMty XV2gBq8XyyZomEhI0RAmplICaineR6BqoEhRjY6O7yQwIsV3EqnPiqpYZfO1q/KM1EeKcqoc25RZ jehQTt+kZfsk5ohzGhMOpxuhKlalqN5vLC4F8VWjeIRzzVA9l8H8Xoxi0Fvf7lTFAv+DSmLi/bme +8pgh9GRYjkK+TMf4aZcfHcu/jAYqj0vsiberEjXcDYfBSmWz9/Dp406k/zXVhqgPJpLZbZBpNhN OH/WsfjJZddXD+6XhjB9Xw7dAXbqwLHND/D5MUNvGoUDkt6OTgmwaFivc6NF07MYhqpoQfGPDRLO kubHbFEVy3vFRnGL9dY4rF92ji8pvy7XiPZdlo8J7Xm6VMUHRiGJu7J5mQ7b3nf5/JwsnS106DoH eU9zpJjG0jd9rTIrP0mysoydSQSQYhlssri69Sk5Ow3mHCXtOPSkNP2CWaRYiPJgKks2b5Nyi2I4 CewCsxzbPFdFRRgGxdw1YlB+cVDfXavv6F0Y3RsjxeOl6J5DDQeQojw6SFHOipbdBJCiHBl7RTkr WkJgIgGkOBEupiEgJ8ACVc7KueW12Ese9Cm+jVV8Tqh9jJQf+VodO7FAlScNVVHOamnL4nsV8tsk +Qtcwfv4fcvrQ7nNpfP/3mBIcceYK+Rx31FMqln+SbEAhr5WxXBHptv7hBS3D9FPB2sqNXk7UXEJ OAzfxu4ixR2D035opiGYRJC5PiWP41AbXXICKbpgVw5aE0lxdaocg25OBJCiE/ixYduvAsaPwslL HKedYzEZ7Y0URwku7p9Iq7FFDC3lalw8F4aLCSDF8/KhJq3aDQzJYQwl0T0PkKJ7CIYcCHf5i4tS k2PVIf/oLCaAFMWodmoYF8bi3+OT0uL9xmQ2kpPVnQC80BcefHthUPeZEuteeSyoinJWtITARAJI cSJcTENATgApylnRso+A5OS2z+KrWyPFV4fXb3LosJc9xza9xGj/TCC+s1JrXXtJct7Lk89+u7ag KrriZ/CIwMePW5EiarAnEH+TQHulKnzN0t7F/Swixf1i8gqP4mcGFA/9SJa4r+D0dxLsFV8W0O2m k1TF4lfvBKeL//uRx9mpitvl7ssc0gkpKaovY1KcDlL8QpQ959h+tbL2MO3tsU7GnrMdGBspDsCj a5NAvEVsPG6eLEoVG8t3xAEpviOOe81CKMJ8ixg+uWvpp54TQIp7JfGbvBl88eprh6icoL4p+XeZ S3t/uIuXm/mBFDcLyIvcQZBdwUSKXbho3EegdlOxz8o3WiPFb8TZdZa18pg8dNr+p+sMVgzOsc0K yoyRE2ifjn7q7PSGgxSRyUQCtbsaQh1+6hY/C9SJifhl05Izm+KKtHGz8d08qYrvjq/P7CQ6bHv2 qXrIAtUnTT81qlpR7BU/lSdMdhYB+avDuQdq9c6azCq7LFBXkf7YOLGidE94f60wIsWPSWThdJNn UOXSar9evHAGS4dCiktxf3Aw3UvAH1ymcjPjg+pYOuXx09Sl7voNhhT92H9g5K+96DQSUhaoI/To KyLwwdWmiMvPRkhRAY0uELAngBTtmWIRAgoCSFEBjS4QsCeAFO2ZYhECCgJIUQGNLhCwJ4AU7Zli EQIKAkhRAY0uELAngBTtmWIRAgoCSFEBjS4QsCeAFO2ZYhECCgJIUQGNLhCwJ4AU7ZliEQIKAkhR AY0uELAngBTtmWJxAYH7SzrCzzVieCEr/v6O5Ls8dF/tsWA61xBIcQ1nRrEkIP9ujnhUXS9Lv5u2 kOIy1AxkTOD+qo7k+6xy7d0NNtchVdE4OTDnQqCoxvyXWG3+BjNV0SV5GNSAQLxRNDDnbQIpekeA 8fsJqL/WsX+odT2Q4jrWjGRLIPlax+L6c/NFaQwEKdqmB9ZWEGh/oeNB8kOKK9KFMaYSSG4qJmOd qEaq4tSEwfgiAidqL0HDVxIvyhXDYfJbZMVfMrFDdia/zNQQwvtMURVPjWn+uygSie5/U/tU9HP8 RopzuK6ympe+5KB/lSOMM0qABeoowfX9i7/C/lLg9XmyUnVfoy5YoMqLvzuNdqogxfVSGh2xmHzF h7/ck2+ZFNszXeDGaFB5M2OcoLuF2qMn7jp0J3OWA+wVz4rXX2+Lp6b8ErVTw0lVPDdyl+dJ3UOH R0eTqnh0+P6vxvw2xs6vq59NfJr3HNtMQzvNcOMENRnTfbs447xEfmT6GAF3PrGHSPExXjTQE1BI Mb4lUxx4mRQVzutJXd8z4HVhMAQ6Mv/Bvl70Bt1e1r03m3vbN7Q6HhoTZ+SonaU4zks+VfOWi0Nl 7v8Cg72IetvPk+L6MzDPY5ujdbggj39sJP53DpMPWjueOfTY5jEl7nmNL6naRsbtK9LDR4ouU1XQ aXe58+YdczGHY24wEY9akHFHiZFl8fVZoPZuzWsXyyKm+2nMKxXCX4RpIZfW4kc942kWH3C7Jxum WWsv5GDYTLHgLOZGMqP2BBuDJsvO5J9FgAlbQziJKYeq2LXQGgEx73pWi+i8OJ1o2Zx/eMRv8O2T cNkqPrF0p1zeZnYIHKQ4oq4ajptdo6zFUbyNSMIZmxV2mRewZHZJood/JjXBXA+KCS72oRbZYrVs 7E4fN64KFI0uqxeoOQ5JnIQL1MaKNORxvHytLUgSXkkX3RQGwxbrcOTvg274dk/SoHf1W2svyUDh xEfUu1qK15SSzUBtv3dPXoj7kWZDio3VZrKAyTUg91AYy8dmyUxrC4Hi5yOJ8uhY3sBwy1pMA2Fu 5BfcQUnXUBR3uXJuPgvUJEjxuvxeXVwNHtV1y6Adj2LyxWkqGSWm2dteHglFy5hb3L32uWKIkS7m bsTwG4GoJU8xGfYJqIMU5ddmIaYcvXCIR/u35dAsqZ/rS+K9Xx2Rx+l97xDkgeiaVwjrYwJ0mR1s 7LBAdcngQUyNNcl6edQWAr2fT2KSLyLGLx/X1PKDgPYJQvt/87lbOamm6iPFW43yyRevXvLuajrt ju218aRBzzJrhSjOlsfMkQwat5G0l2B/dKxtxGGBGhySLw/uDWTyI6FDm/cRMLkE37m0FZyO0mTu t1yK5kNbGdwtnFbzsrLjUnB6B+1t39itjOSDpxSt4o2dbQnMyHLDK3h8lj6iopv/wQvUbRMIx7wI xOfVXj4EXS12hqroG/G+0Wt3U4KV4mXeqjT1+fqnUFx/CgtOUu7iXoMFp+15zqfhScPUoJOexzaK 0H65i2RhlreR9NqKqlC3U32OD3WW+YMUp8Z0kfHaeWBeRRc5NDDMbteOZf6wQB3IGo+utRtisep0 66sZs+ldG9euHUU96OpVTVpFa13+s0CdkUKH2WxcuXX56jJ/YQ0PM1LUK2GXxQc2N20WqC5ZZzlo O4OFyWfp0LCtmhLCOnxEjfcxUvhpH8PIp3JzHqGNFOW0t2sZV7zr77V16Uh+bDfnPw4pqn1xtVm0 k4B9hGBCmL3iI+e9GiT5VNw65h/epWD9TExyNLidTKFrIxdKVs5hqpNy5khRzmqLlkUpxp6F8pis 4lykaIWsqDpbKY7wicWstsMC1SpbfOwkgVfngY/3a0eNn38II/fqueiyCXaq4tp0YDQtgfh0SnjW mg+VdFTbKU6Cmxna2NLvTAIjW7t40W6rw3GWLFDHGWJhBYHkiaLaA0aPrmy7pGeB+hg7GkBARIAF qggTjSCwOQEWqJsHCPe+QgApfiXSzHNzAuwVNw/Q59zLb/QVHx66ucS3CuPzGMndwsfnlgJ64W1D 9oqfS9bPTji/jTFyY+PGKLEgaTMeFBao4wyxYE8gZH8ug9pLFY0ubf+SIeL7jcJ6aDJ/pGiCESNv IxBEWHxcbsZskeIMqticReDx9SXdYlLXy3aSSNGWJ9bMCFzyaCtEsnW8jeQ/vUcyZrOqG0KKCyAz hBmBHcqX2WR+GkKKk8Bi1o1Asoj9++UZ0d9i54pnM8kh0ILzG6ToljEM3CCQnJrELcNqM+k+opbi FvRxhWwbQaRoyxNrEwnkYhuRn9zRNaPwtI08IrSEQIsAT9uQHxB4AwEWqG+IInN4AQGk+IIgMoU3 EECKb4gic3gBAY5tXhBEprCIwOADBu2TWKrioigyDATaBKiKZAgEtiBAVdwiDDgBAaRIDkBgCwJI cYsw4AQE2CuSAxDoJiA/SpU/v4oUu8NABwjMIMACdQZVbEKgmwBS7EZGBwjMIIAUZ1DFJgS6CbBX 7EZGBwgEArXzG/lpzV9Tij5EAgIQMCfAAtUcKQYhoCGAFDXU6AMBcwJI0RwpBiGgIYAUNdToAwFz AkjRHCkGIaAhgBQ11OgDAXMCSNEcKQYhoCGAFDXU6AMBcwJI0RwpBiGgIfAPgsJsALmIy+YAAAAA SUVORK5CYIJ= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0012_image191.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/2wBDAQoLCw4NDhwQEBw7KCIoOzs7Ozs7 Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozv/wAARCACQAOgDASIA AhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQA AAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3 ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWm p6ipqrKztLW2t7i5usLDxMXGx8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/8QAHwEA AwEBAQEBAQEBAQAAAAAAAAECAwQFBgcICQoL/8QAtREAAgECBAQDBAcFBAQAAQJ3AAECAxEEBSEx BhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElK U1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3 uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwDuvDvh Lw1P4Z0uafw5pTyyWcLOz2UbEsUBJJxya0f+EN8Lf9C1pH/gDF/8TU3hfH/CJ6Phtw+wwc+v7ta1 KAMX/hDfC3/QtaR/4Axf/E0f8Ib4W/6FrSP/AABi/wDia2qKAMX/AIQ3wt/0LWkf+AMX/wATR/wh vhb/AKFrSP8AwBi/+JraooAxf+EN8Lf9C1pH/gDF/wDE0f8ACG+Fv+ha0j/wBi/+JraooAxf+EN8 Lf8AQtaR/wCAMX/xNH/CG+Fv+ha0j/wBi/8Aia2qKAMX/hDfC3/QtaR/4Axf/E0f8Ib4W/6FrSP/ AABi/wDia2qKAMX/AIQ3wt/0LWkf+AMX/wATR/whvhb/AKFrSP8AwBi/+JraooAwYvCvg6ZisWga JIw6hbOEkfpQ/hbwdGiyPoOhqjfdY2kIB+hxXAeB9MgvLrR7UaJdwSQ2d1BqtyYXgDCQnYhbgscD gjp/Kz4j+yWXgfSNKOizXF20ckFvI9q8qWUZJVnYAHJC4wMZoA7r/hDfCv8A0LWkf+AMX/xNH/CG +Fv+ha0j/wAAYv8A4mrehxQQ6DYRWzyvCltGsbTKQ7KFGCwPIPrmr9AGL/whvhb/AKFrSP8AwBi/ +Jo/4Q3wt/0LWkf+AMX/AMTW1XIeJYLnU/GekaUmq6hYW8tpcSv9in8pmZTHjJwf7xoA1v8AhDPC 3/QtaR/4Axf/ABNchqx0C2vblNP8HeF5LW1l8iS4vXhtgZQoZlUGM5ABGTn19K3/APhBf+pr8Tf+ DD/7GuN1i2srbTZPDOqa/Fo89nNcFJpZCGvIpVyrPgfMGLFX5GSD9KALkq2sEcks3gbwXHHEwWRm vYQEJG4AnyuMjn6VueHdK8N6uLu2vfB+i2eoWMoSe3S1ikADDcjBtgyCO+Ox9K86igtYLK7tT4x0 C7h1dd2oxSzSxrHICdrRbRk4464zjB4r0TwUBqeqXGspcfaobeyg02O8ViBeMg3SSkf7xABz2agD a/4Q3wt/0LWkf+AMX/xNH/CG+Fv+ha0j/wAAYv8A4mtqigDF/wCEN8Lf9C1pH/gDF/8AE0f8Ib4W /wCha0j/AMAYv/ia2qKAMObwb4WELkeGtIBCn/lxi/8AiaK2Zv8AUSf7p/lRQBneGM/8IppGRg/Y YOPT5BWpWX4X/wCRT0fDbh9hg59f3a1qUAFFFFABRRRQAUUUUAZ+vatHoWh3epyKXFvHlUH8THhV /EkD8a8q8S6tZeHZfs2qXGsXGrvJFvRdYlgjkWRNzSKFBCqr5Xb7cV69e2kGoWU9lcoHguI2jkU9 1IwRXBzeFvEVzJayXmhaFez2caQxXUl7MjlUYMpIVMZyoP5joaAOS0bX9P1rWotKS38QxPLKsPmR 63PIysxPzhSBlBjJJIOO1dxo3xD0ixsP7O8Qah5OqWEjW1ziGRw7IcbwVUj5hg+2alhtvGdtf3eo QaLoCXV4qCeQ30x37AQvGzAxk9MV0Ph/SF0PRobIEPIMyTSf89JGO52/Ek/higDI/wCFmeD/APoL n/wGm/8AiKP+FmeD/wDoLn/wGm/+Irqaa7rGAXYKCQBk4yT0FAHknj74r/YbzSbjwtqQnRTJ9qhe BlVx8u0HcoP97pVrSPjBpmq6/YzXM82nWospftVuyGRRNuXZgqMnjd2FbPxC8J6d4uvNIOoa3BY2 1nJIJEZ1Dy52ZVSTgHgdj1FXdI8JaLofiW0OkS2tv9jspIZLVSDNIWKHexzk/dHX1oAk/wCFmeD/ APoLn/wGm/8AiKP+FmeD/wDoLn/wGm/+Iro7q9tbFBJd3UNujHAaWQICfTJp8U0c8SywyJJG4yro wII9iKAOZ/4WZ4P/AOguf/Aab/4isVfGWh6z8UdDj066ec/Y7iPPksoDNtYD5gO0bfpXodcrqUcb fE7Q3MaFhp91hioz96Pv+J/M0AdVTWjjc5dFY+4zTqKAI/Ih/wCeSf8AfIp6qqDCqFHoBilooAKK KKACiiigBk3+ok/3T/Kiib/USf7p/lRQBneF/wDkVNIyNv8AoMHHp8grUrL8L/8AIp6Pht3+gwc+ v7ta1KACiiigAooooAKKKKACiiigAooooAK4HUtBB0LWNJtJ7iIz63CyTO5d0dvJbdk9g1d9RQB4 tqplurSG6121uINWtZrryBHaLPDc3ZMaCPaVPDbAw4GVbg8VL4Z07ULrx5b3epwyWuoTXVxJd2q2 jLJCjwFQ/ngYKEj5RnAPTmvZKKAPMbPRUg8C6vYDRjqF1Dqlzbaet1D5rRF3CpISwOAAQxbpgV3+ h6TFoWh2elQsXS0iWMMf4sdT+dX6KACuX1H/AJKXon/YPu//AEKKuorgNT1OTRviGmq+J3ay01Im ttNmjj3wtvwWMj9VbIGARjAPPHIB39FcD4q+JEnh7WZraKGwlt4LaK4/fXJSWcOTxGApBOB39a0r TxXqLePX8N3tpaRwmFpoZ1lYM44IUBgAWwedpOKAOsooooAKKKKACiiigBk3+ok/3T/Kiib/AFEn +6f5UUAZ3hf/AJFTSONv+gwcenyCtSsvwv8A8ino/wA27/QYOfX92K1KACiiigAooooAKKKKACii igAooooAKKKKACiiigAooooAK808VsND8Vw32uwDxFaXMuLSzWTMtqeg22/3ZOv3uvP0r0uvPNds Lnw947j1Pw6PtmqauuZbGeIMGjQjewmJzEMEccjOOOlAEmv2nhXV9a1aC7urgX39mx3UgSL5rRIS WDKccP8AP09Kk8P22l6v4hgnh1/UtTNjm/iimUGOAzLwhfGchTwmeM1pa14e1DUtcnv4TCqS6HPY gM5yJXYEdvu8df0qLwJ4Y1HwjDJpztbvp8kaTLtY745yoEi8j5lyMg9ecYoA66iiigAooooAKKKK AGTf6iT/AHT/ACoom/1En+6f5UUAZ3hf/kU9I42/6DBx6fIK1Ky/C/8AyKej/Nu/0GDn1/ditSgA ooooAKKKKACiiigAooooAKKKKACiiigAooooAKKKKACuX1H/AJKXon/YPu//AEKKuorl9R/5KXon /YPu/wD0KKgDqKKKKACivNPFOp6rYeKbqVtXuktmdILSOzuY9kTmMYWaIjflnIww4AIzWd4G8V+K vFfiU2l0z2cIje83jlSCgjQAH70e8M2B378UAeuUVzfgC8vL7wjBNqF293cefcI8zgAttmdRwOnA HFdJQAUUUUAMm/1En+6f5UUTf6iT/dP8qKAM7wv/AMino/y7f9Bg49PkFalZfhf/AJFPR/m3f6DB z6/uxWpQAUUVna9qR0nR5rpEMkxxHBGDjfKx2ovtliOaANGiuBu9U0PQJ49I1bxRqEmpx2pklP24 qGcAHb1GC2flGOlWPCFzb+LdOmu0n1mzMMvlNDLfsXU4B5HbrQB21FeXap4vstJ1i9sbqPXUisn2 yXD6ltDLkDcqk7mGSPug4zVCz+IVpqVvcXNhYeIp4LVUM7/2mF2FgT0J5+63T0oA9goryseMbaAt /aVr4g08NYNewNLqWROoUMApB6nI+neq1t46jvLeG4t9M8QyRTrK0bDVl5Ea7n4zxgDPPXtQB67R XGaJ4li0++ktNSv5Gsb23jv9NuLk5fynA3RsfVTg9+G9q3P+Er0H/oKQfmaANeisj/hK9B/6CkH5 msbxF47SwNm2jRDUo/MMl80alvIt1Hzt9eRj6HigDg9F1vU5LFZtR1q/0q3OnTSS3j3Tzm8Xzcbo lPCOv3cA5+YGvQPhxPc3Xhp7ie+a7jlune3Et0LiWGIgFUkcdW6nHbcBWe3inSX8ZJobabZNoqRG BLwwgxLcMPMMYb7oBQZI9af4Q8Z6bIt/FdWtpo1uJFuLPagiWe3c7UfA6sSpB/AY4oA7misj/hK9 B/6CkH5mj/hK9B/6CkH5mgDXrl9R/wCSl6J/2D7v/wBCirR/4SvQf+gpB+ZrldR8XaEfido4+3pt jsJwZMHbl2XAz6/I36UAeg0Vkf8ACVaD/wBBSD865u38Z2WgeCPNN22o30BZEjmkIkmJlKqWJHoQ Tx06UAXfFcenadqel31tpGlS6nfahHbG6uYAzx5RiGyMHI2Adar6xNe+ENGl1i2t9CY2saQrHDbN G3ltIPlB3HAyxOPWs7T9As77xbLp3ivwto7X13A96tzaM5DDcoYMG/iy3UdfQVa0j4caBBda1Lqn h+1Fv9rL2hb5gIfLXoAeBuDcGgDtrS3tLWHyLOKKKIEtsiAABJJJwPUkmp68z0XUYfDloniWz8N6 dZaBfSrE0ltITcJGW2pK3Ygkj5RyM9+gs3/iK5b4kaZdR6jaPoSQSIdkrDaxUElxjk5AC9uvTuAe h0Vkf8JXoP8A0FIPzNacE8V1bx3EEiyxSqHR1OQwPIINACzf6iT/AHT/ACoom/1En+6f5UUAZ3hf /kU9H+Xb/oMHHp8grUrL8L/8ino/zbv9Bg59fkFalABVXU9Pg1bTLiwuQTFcRlCR1X0I9wcEe4q1 RQBy39jajO8NxqOjaVe30UBt2ujduhkU4ydvlnBOAe+OxqC20HW9K3rolvZackuDKGu3uC5ACgln TPCgDHtXYUUAcVe+Dl1R/M1Pw7Y3khk81jJqs+0yEAFtmzAzgcDirFj4Z/swEWXhjS4QdmQuoSYO 0sy8GPsXb8662igDirfwXBatK0PhmwBltmtHLavO37lhgoMpwMelMPge3NrDanw3aeRArrFF/bVz tjD/AHwBs4B7+tdxRQBg+H9BlsNQvNSvVgW4nVIIIoCWS2t0HyxqSB3JJ4A6elb1FFABVTVL2w0/ TZ7nVJ4obNVxK8x+XB4wfXOcYq3VDXbFdS0K+szbpcGWBwkbqCC2Dt68dcUAcdc6b8PLTw1Z+Frm 9iis7/bdW+6Uh5NzfK4bHGenPatOSx8G6nrGgTiWE3dm0kenJHIRu8vIYY7hSp/EVx+r+CPEksOk xwWks0culWttdReeirFNFgYY5z5YyWIXOWUZ6Ctjwf4U1nw74iXUJLRJY9RkuUuvNKl7NRI7RlGB +6+RlfU5oA9FooooAK5bUlU/E3Q2Kru/s+65xz96P/E/nXU1g6tpGozeJNP1nT3tSbS3mhaO4LDd vKnIIB6bf1oA2ri4itbaW5nkEcMKF5HPRVAyT+VcvqWp6N408ISLayG5tJ7q3gmQqyMN0seQQcEH BB/EVfvYvE15YXFqqaVEZomjD+ZI23IIzgrzj0ribjwp4i8M/DW/0lZLK4iR1nMtoGjmCq6MxGB8 zAKTnOew6CgDV09V8M6vcyx+G/EurXSr9nW+mMUn7pTwqEuMLwO2TjJ5pNF1zV9OvdYmufCOuyJf XnnwqFjOxNirg5fjlT09ad4QvbG48XSx+G9Sur3RvsAa586R5Fjn3DZgvyCV3ZA7jnBru5N/lt5Y BfB256Z7UAeQ6rocK6fLexWfiLS4Le/tpYbG9mX7MHe4G4oqsf7x4PTNemT+ItOt/EEGhSySre3K F4h5LbGA6/NjGfx7j1ry6a2tdXs7K0XUdRn8WvqCG5spriTy0ZZNzl4+ixAcqwH933rsL7w14mv/ ABTZa1LeWBisjvitCzFVfaVJDbMgEHkdyBQB2tZXhf8A5FbS/wDr1j/9BFVdQk8XjT7g2VvpJuRG 3lAyyH5scdVA/M0ngM3reB9JOoqFufs43AAfdydvTj7uKAN2b/USf7p/lRRN/qJP90/yooAzvC// ACKej/Lt/wBBg49PkFalZfhf/kU9H+bd/oMHPr8grUoAKKKKACiiigAooooAKKKKACiiigAooooA KKKKACiiigAooooAK89b4pIqLELaE3g1p9PeHzMYjU/6wDOemO2M5r0KuJHwo8Pf2udU33P2k3Hn lty8t5hc9u+dv0A780AZV38Qda8Pact5qehWMENzAl3EltKSyqZEVg4IHzYcHI4yK39H8f6Xqms6 xA15ZwWNg8UcN1JOFEzsGLYJODjbxj3qr/wqzSJIPIu9Q1G8iSJIIUnlUiKJXV9gwo4JVQc5OBV3 RPh34f0SOWMWq3kcqxqUu4kdQU3YYDb1O85PsKAMzxJ8Q9O0DWbZraK0vLe6tZWe9hcN5brgKGZc /LkqD9RUNv8AEPV5bVtWbS7VdIsxbLfuZWEqtIiMxRechd464JroL3wNoV7KzG0jhie0ktTbwxok eHZWLYA+8CgwfaqUPw30yG4iZb/UPsyiDzrPzF8m5aJQFaQbeT8oz0BxQB19ZXhf/kVtL/69Y/8A 0EVDJ418LRStHJ4i0xHQlWVrpAQR1B5rL8O+MvC9v4c06GbxDpsckdsisjXSAqcDgjNAHWTf6iT/ AHT/ACopjSxz2ZlidXjkj3I6nIYEZBBooAo+F/8AkU9H+Xb/AKDBx6fuxWpWL4Zu7ZfCukK11ESL GEHLj+4K1Ptlr/z8w/8AfwUATUVD9stf+fmH/v4KPtlr/wA/MP8A38FAE1FQ/bLX/n5h/wC/go+2 Wv8Az8w/9/BQBNRUP2y1/wCfmH/v4KPtlr/z8w/9/BQBNRUP2y1/5+Yf+/go+2Wv/PzD/wB/BQBN RUP2y1/5+Yf+/go+2Wv/AD8w/wDfwUATUVD9stf+fmH/AL+Cj7Za/wDPzD/38FAE1FQ/bLX/AJ+Y f+/go+2Wv/PzD/38FAE1FQ/bLX/n5h/7+Cj7Za/8/MP/AH8FAE1FQ/bLX/n5h/7+Cj7Za/8APzD/ AN/BQBNRUP2y1/5+Yf8Av4KPtlr/AM/MP/fwUATUVD9stf8An5h/7+Cj7Za/8/MP/fwUATUVD9st f+fmH/v4KPtlr/z8w/8AfwUAY2sWq2r+eqaFbW7YBe9hwS5z3yB/k0zSbVL6UyMugXVsuQxs4dxB 7c5Irae5spBh54GHoXBoS5soxhJoFB/usooAklULbOqgBQhAAHA4oqGa8tfIk/0mH7p/jHpRQB// 2R== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0012_image192.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh1QBKAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADR AEgAgQAAAAAAAP///wECAwL/lI+py+0Po5wP2Iuz3rz7D1LiSJZmCabqynLnC8dy1db2fc36zov4 Dwz1hsRi8IjMFZfMWPIJbEqnPqi1Rs1qGdfuagsGe8efsJlKTm/ObKb6rWzLeXD4/K6rv/F8mF7d F4jyRyZoSEFYeLhIk9jFCMnl+BhZeTBJaVmJeaVpyWnluQn6JBpJWmrKiJqkusp65LoIGytrSBtk e4v7oyvI2+vbB4wjPExsY8yHnKx8x4zlPAfdIj1N/WXdhp2tfcat4s0GniL+TV5mHoauEYChvs6O EUBvAS8mf0G/f7+ltg8woMCBBAn205JPXz0AB7MktOAuTsMmDzVMnFIxw0UpWBnfbXTT0d7HJSFF jiRSkuFJlCVXsgzpckjKmD1m0txh8+aMnDqdtOwpgyfQE0KHDoJp9EXRpFWQMj3a8amJpVIjUK3a KCrWplq3TrjqdQHYsAnGkr1UsgAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0014.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
LED driving
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lThe b= oard has 8 LEDs
lIt ha= s only 4 input output pins available to drive them lThis = would imply that it could drive only 4 LEDs,
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lHow d= oes it manage it ?
l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0015.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Use of a diode matrix
Each LED is switched on by activating one pin hig= h and another pin low
If pin 2 =3D 5v and pin 3 =3D 0 v, then LED D1 wi= ll light
if pin 2 =3D 0v&= nbsp; and pin 3 =3D 5v then  LED D0 will light etc
technique used for controlling indica= tor lights on stereos, dashboards etc
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0015_image193.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAZEAAAGPCAIAAAASn3rrAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO wwAADsMBx2+oZAAAIjtJREFUeF7tndm2ZCmOba/f///nLIsiksJphUBsmukPOSKP0UhTYpnAdvPn P//5z//jHwQgAIFDCPz/Q+zETAhAAAL/EECzyAMIQOAkAmjWSdHCVghAAM0iByAAgZMIoFknRQtb IQABNIscgAAETiKAZp0ULWyFAATQLHIAAhA4iQCadVK0sBUCEECzyAEIQOAkAmjWSdHCVghAAM0i ByAAgZMIoFknRQtbIQABNIscgAAETiKAZp0ULWyFAATQLHIAAhA4icAf02f+/fnzJ4URzthscBJL bIUABOwJfFBnZXXK3lNmgAAEbiCwos7KFlbuj06/TGu9G6KEDxCAwH8JrK6zkCdyDwIQGCGwWrOy tv6qLf9vxBn6QgAC1xNYsTfMQgz3hlEDarHr0w4HIaAm8E2dFarS77/9P7UbdIQABB4hsEKznCR5 oKX/9ofx/LD4SPLhJgQUBFZoljPLSxWSpIgTXSAAAUdgnWalxN25e/h35Iy8hAAE6gSWala21PI6 5f+DM3iyFgIQKBFYqlnhDjHaLVJhkaMQgICEgK1mNSumqEF0Wi9xgDYQgMBTBGyvz3oKJc5CAAIL CNjWWQscYAoIQOApAmjWU+HGWQgcTwDNOj6EOACBpwjM16z0qqsQ6MinTwUGZyEAgSyByZpVv2RB +CnXPZCsEIBAicBkzfLTCOWJwEAAAhDoIjDzWodIp6Jrr0Y+7XJpTePQneZlaGtMGp+FWxG6GN6d Az8Ueyb2/Dqrfi+08E7pzbeHm5vXtfCypfGVDuqwCHvdQewILzLvxREGqdQs+6D36As8fQx8+Jfo 0yM4DkKjOwQgICQwuc7S7QezqoRUCUNIMwg8RWDmeVYEzpdL2VOSSmH1G6f+6Q4Ruu8so37guAPz 3Wy4Lwf80nOo9zzPMtcsn2f1EiwClBZZG+JLd7i7LSqFPVc6peAg7HIlrs2dmrw3DCNdV5n0iQ6V vhsKljCnaXY3ATJzfXwNNUuuX+vdZkYIQOBQAos0K0vHf0dlv6zqnx6KG7MhAIFBAraa5XSna5M4 6A/dIQCBuwnYatagYPHY0ruTD+8goCBgrlkKm+gCAQhAoEQAzSI3IACBkwigWSdFC1shAAE0ixyA AAROImCoWb+radPL2d0fw38hrcpHJ0HFVghAwIyAlWYp7nDOCpyZ4wwMAQgcScBEs0qC5e9jchcx ZC9lKP39SLoYDQEIzCZgoll1I0sbw2iT6P6vol6bjYjxIACBjQiYaJb8WlAvSenDZzaChCkQgMA2 BEw0q+ldKGpRJZV92FZzQBpAAAKPEFiqWdFZFc/xeCTJcBMCEwks1aym3RRZTUQ0gMDjBJZqVvaK LReAsOYK34LxeHhwHwIQiAgs1axo7uxvgvXnlxI/CEDgcQIfaJa/1iGLvv7p49HCfQhAYKlm9T6P lEN6EhQCEIj3Z+iCOic2fz2Jzq8rndKhEPb6EbtsEW2eA0vrLGES0AwCEIBAiQCaRW5AAAInEUCz TooWtkIAAmgWOQABCJxEAM06KVrYCgEIoFnkAAQgcBIBNOukaGErBCCAZpEDEIDASQTQrJOitZWt 3GW1VTg+MeaTHECzPon18ZPyzOvjQzjswFc5gGYNh+7tAS67beXtYCq9X5wDt90qpaSu6jbltqzw y6oU+5WPQpQ4Vbc5+vqtJPQdd+qNe9EkJkkSVQrnO43nwG/criRxdgi1jzprYqy7h0qfhV//y1fV eOhYKKBhkpUe6Fj5e5TZ3fiu6JDGNM2BLP8PvW/mQDOxR4xHs0bozekbvaaoLkzfyla24suqUt2p b72YE7apo0hywH9DfEtvSg5U3nDa5IpmNRFlGoSrtFRH9I6bvmAt+jYTVs698/r2TaeaW9TUwuxb 475dcmo+UUeHy/myJgfkuye1j8tyIMy6ro3hrzGa1RHfME0rGdwxYqFpuKqtv117nYqESS5AkeRZ S/B4FLIj9OJSm+FwOUrWrHqdGs8Bh6X5LZilh2aJkir9IvVhS+MnX8bp3M1jeJG5skZdTrmVU0rW bD3lrcjWXzIb92oVRdZ7bZoD0aHhXCJdOfBrPDEHQke6ClU0q50DUdWThs1vztOKtz26oMWICJaG 73UqzSrdl6TA3R2bhO6H4Q4hSM6kpvg2q+zqzYGwMhoplAYhoFkNgNltWqmPxVeihTREK62OIOuU hVWDqWzX/fMciARiiqfjTo3nQPplL3ENzapR6lrbbiAL2YqGlcS10kaRaiWnhF/4FnXiIAR59661 7XNg+imkImrCHBAGMawiFUzkwJst0awmou4TUGESZCfOLu+RAaNZ1Kkf2VA/wMq6NtGLdswMWvTa r5atNAfUUZNX0ApgXTkQOtV1epUaxnXwxWCFidJbKfzCKckz1yatYtxf0hHS9r2p9rlTocHj7vS6 39t+BFc4V0Xv6jmQTYNeLyrfW72JPcWppst1B9GshmZF8iFMl7BXM1/TMetf0b1f+H78SAQV+eq1 eMSpyB61O8JYjDQLV1cvrtIXT0lBor/XE28Emneq1yNn4XgOlOYVOoVm5VO6VOMIF8BIvtZPxIRx zdrZrGsk5xTN+lG+vW3aI6Rt1GxKDvhCqRS4Oq6KrOgyoSt8TZubDUp1WeqX3B00q6ZZdfkoLZXS Xs9oacmHbWqERLOai1Buz+YtS1sYidnkgISSrg1n8FJu8u8B6YibtYu++nQbh818mmzOSA4cwfOI HECzVqT1DvnaLLImg3h4uEjaRpTuc4r11P0ksdGsYlakqdZMPkWXz5PSGZBNvk8ychMgko3/5qb2 mtcV7uZa6J1d3h7NkrO6tmUlWeUH6tfS6XTsw8XcaelfzXtzYGSuwb5oVhFg73I9NFkHE+jB7pVA kwML8gHNsoXcVW/bmlLYAzYtTBs0uyxwhCkmEmgGtNlgojHNodCsJqK4Qfa7tP4Fu8PX7w42dLPe tUNXDmy14NVEsz8pfpJUaJYmiJ+ESmNoq49wOQmbtWa76vPeHOhtvwzWccHlmtJ8bqy5XPg3d5Qx kqtYXRvh9Z+he1Mukuwi42Y3dcpubXd5WpGk5lUmlRxIMyREWu+YJZM61aVZ3s0Rp0ozChMbzSrm fBiVrrj6VdobV29KGrzQyt+nvb8PuO5Rvt7hlJ1meWL1cJQMiHqVRE2+gCU5EH1DVGTrIKcidGhW Q7NcEvQu7yi9SnNEohaVThXJK+lpcwMSylavUyEHeVmxwCk72RrBRQ5ERZmvEAcTG82qJXy9UBr5 NCx84q+R/z6gRhjaylCpb8L9TlaSmn2/cspOs9LiNJpLkgP12ic7gv+jIgfkpVap5YZOhQnJGXwm 4X8xC6uD3nokTPTe5aSYK5yi2T371ScxsjlyZZCRviMwJX5VSuDI7F4vhBI/YmTUt1llj8+ldqqX Xva7wf0RzYrjGO1lfO0ghB7qnTCHXJdIKL1ZlY9C04VzhV+tQo8i1RBOlDoVncSX/NU5Nb4aSyNI fkBI+/au7RBXGhdhDsghhF9dwjTYJ7HRrEagu1I2q3fyTPIt61szxYClL2SJcMxyKmu2cMGMu9w1 QmRVbw70ClbWtmU5IKlkZ+XAFKfQrL8SJpttaYGQFq7R4hcWI26cX+OwfdTXfRq16VqBzSWRVa70 u31/p8axhAu4FJSQTCU39sd1aGKjWf/mean0dX+PJCNsnH4ndyVrvbaasggru55S1s5yatD+xVVY Vw44dXMWpt9Y5IBdYqNZ/7Atlb7ZpVtKx1mlkG6h6nqlVV6oMuP1XXhuotYv3frvnY4cOCWx0ay/ clt4chHK0/jCHv9GGj9AibyYpb9OE3vlw7VXq7BuumyvCtiQmEUO9Lo/ngPRF9i2TqFZmVdyVSqv ieuhMlSYr/70JD1gSv+yxjzdLKc4NaU21CFyvVKVvyYHQixqp9Csf1OkVGGpywRd1qqnm1gZ6Syv 9DrFqZBhaau4FZzImEdygOvg4ySUJ6trqV6Q07N/yoBXOtVLRp4DriQnB3oJj7SnzirSuywRR7Lk 2b7kwIahR7P+CsqUg8wNw4xJcgLkgJzVJy3RrAx2vl0/ycWtJiUHtgpHaAya9Vdodj7F3DaHLjOM HNg8oGjW5gHCPAhA4C8CaBYJAQEInEQAzTopWtgKAQigWeQABCBwEgE066RoYSsEIIBmkQMQgMBJ BNCsk6KFrRCAAJpFDkAAAicRsNWs9HET2acChc1OgoetEIDAcgK2mpV1J5Kt+v9dDoQJIQCBrQms 0Cz/wMP0aWrh/ajc4bV1pmAcBPYgsEKzQk+zwuT/iGztkRVYAYF9CazWrNJu0b+5BNnaN1mwDAIb EFihWdFJfMVrr1wbkMEECEBgRwIrNCv1Oy2mKK92zA5sgsB+BFZoVvRAopJgff6+k/2ig0UQgEBM YIVmuTkllZSkDTGEAAReJrBOszzlyssme99D+XLk8B0CbxJYqlml3V8kVVRbb+YiXkNAQmCpZlV2 iPxiKIkWbSAAAVvNalZM6fsCml2IGQQg8DIBW83KFlZZnfL397wcDHyHAASaBMw1q2kBDSAAAQjI CaBZcla0hAAEvieAZn0fAyyAAATkBOZrVv0XwJFP5V7REgIQuJXAZM2qXxQqvGRU2OzWkOAXBCBQ ITBZs/xMCvFCqshUCECgSWCmZil0qmnftg2uVNgrndo2hTBMR2CmZjkL6o9nEN6+s/niCc3b3FR5 WlzplNx9Wp5C4M90Q50quQWQKlT206h9qe90UxkQAhA4jsDkOiu686Z+87P/tPQCseNoYjAEIGBN 4I/d/X2+XApfrhMd0ivKLmsikvGvfBDFlU5JojnY5sfNbhEN2qbrHm10dIPY9ZpcZ1UMzcb10Mu1 Ql+uydcrnbJbOYz8FQFzzSodUUdLvev/fgUrnPcaqbreqR2yBRsmEjDUrCtX9UT0DAUBCCgIGGpW 8wvci1pW3eqfKlylCwQgcAEBW81yukPBdUGi4AIENiFgq1lNwUqf/xdVZ+jdJomCGRDYhIC5Zm3i J2ZAAAJ3EECz7ogjXkDgFQJo1iuRxk8I3EEAzbojjngBgVcIGGpW9hp398fwX0i68tErAcFPCECg SsBKsxRPaEm7KAYh3BCAwN0ETDSrpDX+3kv/NsP0UgZedHh3wuEdBAYJmGhW3abSxjDaJLr/S6k1 GGC6Q+AyAiaaVb9StKJN4aNpLgONOxCAwBQCJprVtCwUtaiSorBq0qMBBF4msFSzorOq+n053LXz cl7iOwRKBJZqVjMM2SeaNnvRAAIQeIfAUs2qPJU0fUgmm8R3shBPISAnsFSzJGbVH1gqGYE2EIDA xQQ+0Kz6tQ7+04uh4xoEIKAmsFSzep9HyjG8Oq50hMCtBG57zdHKOG3+SiUdiiud0qEQ9uJdYUJQ s5otrbNmGc04EIDAswTQrGdDj+MQOJIAmnVk2DAaAs8SQLOeDT2OQ+BIAmjWkWHDaAg8SwDNejb0 OA6BIwmgWUeGDaMh8CwBNOvZ0OM4BI4kgGYdGbZNjK7c9L6JhZhxHwE0676YrvAItVpBmTlyBNAs 8mKIAPeEDuGjcz8BNKuf2dQekjd6uAn3eaBY0xLhozt4hsfUVHplMDTry0inz8Kvv2atKRYLnAmf JRsWWX63WHdqBxcWUGIKOwJolh1b6cjRa4p2fjdt9uHX2bOtulPhCy7ZXUoThXb/SwDN0iRCuEpn FQ7yF6xpLBb0aTrVfFp/6Q27gslpAgEpATRLSsqdKGVrCvmZlHCyUAdnaWJp6l6nImGSmxc5xWGW MBloFhFAs0QpUflpX72GsxOXRrPYQPU6lVaCpbOtyLW68RUzRLGh0WME0Kx2wMMCITyI8T2j9zYe sQiFTnkfU6eaW8UK2YhYOwa0gMB/CaBZjVyI1na9dfQ72mCajYhCfWq5U6WjdLVt0YAW9eMgdrpv TgDNqgVIuPcJh5grW27k6HRcfoSU9W3QqZKzmyc65l1DAM2aH8qR2mFQj5rOjNRHXkB//9H1K+fK nxSaBGhwOgHeu1OMYLi8e6Xkt6Ql6uDapKVZVvXSxork+9ypLpcVDq7v8vNo5FtqvcHNGadkWnMW dQPqrAY6dTrKO/pf/XuVsTfqEhmtjNm1OOtOLXO5FxHt9yeAZuVjFMmHXIDS4XqVaGQuScL58aOL 0aN5009HjurCSVMjrV2WYKHNKQRuK2tncS9tYSTjC/d6kqHmtmnW/MLfE5vjzDV789G6ys/NfXHm bR5f6ixpFl1fC0T1YG95KOVIOwiMEUCzxvglvSvH55Nn6hxu8y/PTm9o/i4BNKsY+1R9FKWWossn yZitqii1PokFk9YJoFkzM+QUhYp8rmhT9qND3ZwZacb6jgCa1ce+slxZyX0oaQ0BFQE0q4htys5o yiCqyOY7pfY0LVR0mWgwQ0EgIoBmdadEtp6qF1k7lGA72NDNmg4QSAigWU8nRbPIcnSEzZ5GifOr CKBZNdKltZpeMr4qXt/Pg359H4O3LeA6+Ma5T2VL1bx9T94gMsJNWlFM3c95kT296hNaJcGyxqnP 1y/XwS8OAXVWHrhfk25h//43/ed7Zj9tClYl0r1qItzBRU4pUk1nWNM8hQIqjKfLHQSos9p7w9KK ql9ZLrnuPNvG/7EyQvqRZLqwdlM4JVThkm2/2X+TWjj17VKkzlrMnzorA9zVTWEZ1RsVdT2i7tis ZVyD8VKrF8V4+0Em4wYwwlYE0KxaOHQrXFiPZLeWqTV+41nPG/mlDAqnQhEXThTulyO5DPfas5za alFhjCkBNCvGG32rhyu8+YWvWNvZ6FrfaN3rlDdSKFjylG0ilQ9Fy0cIoFl/BTpbIoULNdo2RrWS bm3/xg+nSC+kcA3m6sVKp1LjvUdznXpk0T7uJpr1bwKUSiT392jVhY0jFZsuLnYJuswpdTGl7mgH jZE/J4Bm/ROCcG1EBUgYoboeDaqV4pgptE29vHd2Kj0I+3zBYMDnBNCsv0JQEaxw3xeu84kbN/VG qffUP027yIutnPp8kWDAVgTQrP8rskqCpZYSdaSjKy3C3+CyH6knWtnxSqdWAmQuRwDN+veSpZIw LRYs9XSDO1PT9XClU6bEGLxCgOvgYzils60UomupXpB75uWVTpmidj/RmE6xePDNc4A6q5gPlyXi 4rxnOggYEUCz/gI7fphtFCeGhQAEOM+iwmIVQOA8AtRZf8Vs55Ps85ILiyFgQADNMoDKkBCAgBkB NMsMLQNDAAIGBNAsA6gMCQEImBFAs8zQMjAEIGBAAM0ygMqQEICAGQE0ywwtA0MAAgYE0CwDqAwJ AQiYEUCzzNAyMAQgYEDA/PbO9Fl0zYfbnXKj3+a3kuqy5UqndCiEvbhHWghqVjPbOiv78MzmEzWb DWY5zzgQgMBxBGw1y+FIX1jgv8zDj3x5dUqddVywMRgCFxBYoVkhpooeUV5dkE+4AAFrAqs1q+kP RVYTEQ0g8DKBFZpVepz5y9zxHQIQ0BFYoVmpZWkxxcZQFz96QeA1Ais0K3ooVWX3x8bwtfzDXwj0 ElihWf7Xw5JxFFm9YaM9BJ4lsE6zPOKSQlFkPZuFOA4BOYGlmpW9Ap4iSx4tWkIAAks164d7k1ef EngIQOBQAraaxXbv0LTAbAhsS8BWs7KFVfozItK2bX5gGAR2I2CuWbs5jD0QgMDRBNCso8OH8RB4 jgCa9VzIcRgCRxOYr1nu7sISlJFPjwaN8RCAwBQCkzWrfrGV8FIsYbMp/jMIBCBwFoHJmuWdV4gX UnVW6mAtBD4hMFOzFDr1ic9TJr1SYa90akq4s4PUDzrs5n185Jma5VDWX1FR+jRaLZsvntC8zU2V 5/eVTsndH2l5TQ6MQFjWd5pmhWGrPx6r/iya7Keb58Tm5i1LpqcmOutb9qbQFH/g0zmZllHuLz7A I/9XZxK9IAABBYFt704xfL+hf7mO16yQwsinigDM7RJ9x24b3S6vr3Sqi0Bv4/reonc02gsJTNsb Vua773KtUKTuEKzwIDL6b2Em0QwCawiY11nejd5Drv2/xMJScU20FsxypVMLuDHFMgKGddY1Bciy YDARBCDQJGCoWeHcdf3KfsprpZvBowEEHiRgq1kKqXowBrgMAQjICRieZ8mNOLTllUc/Vzp1aIJh dpaAbZ0FdAhAAAJzCaBZc3kyGgQgYEsAzbLly+gQgMBcAmjWXJ6MBgEI2BIwPIPPnuam18SnN/R4 jze/wuvK4+ornbJdQ4y+loBVnaV41EHaRTHIWnrMBgEIrCZgoll1rXHvN/T/Io9Lf18NhvkgAIEt CZhoVslTp2Xu6Y51XfOfUmptmTYYBYHPCJhoVvSm6IqEZbUJnfosHZgYAtsTMNGsptelc/fND92b ftEAAhCwJrBUs8KzqlItFj3R1Np/xocABM4isFSzmmgQrCYiGkDgcQJLNUt4UBU9M/7xCOE+BCAQ EliqWe5Hw2wASk/L4oSLfIUABL7ULCdblcsdJFdCEEIIQOBZAkvrrN7nkVJkPZuXOA6BEgHD+w2v h37lrXlXOnV9Kj7l4NI66ymyOAsBCFgQQLMsqDImBCBgRQDNsiLLuBCAgAUBNMuCKmNCAAJWBNAs K7KMCwEIWBBAsyyoMiYEIGBFAM2yIsu4EICABQE0y4IqY0IAAlYE0Cwrsi+M23ze7AsQ8HExATRr MfBLpkOtLgnkgW6gWQcGbSeTuSd0p2g8YQua9USY5zopfA7a3EkZDQKOAPdI6zNh/Hbi7OKPKpeo jXVd03Sq9CxZ37HuVPMBavp40PMNAtRZ28U5XNXpCv+2xskKluRs61uzt4sxBg0QQLOU8CYuwsob ap1xy95TW3eq+bT+sAYsOVV/I68yGHR7iQB7w45oN3Wqd+OWbsRCXWhqRIfp5aZyp7LbxrB7+CD/ 7OvgUj7NregUHxnkJgJoljSazbXtB5IrV0UFfoNITruk1ufayT1ytV46RmmrmLav652c2Ii/9L2D AHvDdhyj85pop5Zu3CTnO+1ZCy26hKYyS3q0H75xUuLUrDIQwVInw5sd0axG3KO9T2WBRW+ZnSUu zdMuReKOOzVLsBTG0+VxAmhWLQHSw5pmumTPcZq9VjYI5UZY45SaCbtnvZul6SvRMdcOBNAsURS6 FmdX42j6ZSu510jf3p9MyUeoOCUfRBQnGj1AgDP4YpCzP+EJUyI8Qa8sy9LvhuEPcOF59vivbJ87 5QCytRQmEs1SAtRZjaxQFwLyju7MPj25D0ubuef6cttCOj8b5B1LToUDykdj6ULAE+jIwqeopYVA 166tVChJdoLRSk5/4FMHolmmSc7vmiVSFlTWKTRLHcqXO6JZ+eiXdm2SXEmP4TdZnFM0y+/sNnFK EhHa3ESAvaE0mtcv0ag+6qorpRBpB4FhAmjWMMK/B9hW2tCgyZFmuI8IoFlF8Kn6NPWo1GUfvSi5 kLVwH7M/Wh1MuyMBNGvHqGxuU1O7N7cf844mgGb1ha+yXM9dyZV6ilKrLz9obU8AzSoyvnK5pk41 3VR0sc9bZniXAJrVHftsPVU/J9qhBNvBhm7WdIBAQgDN0iTFNeu/WWQ5OsJmGpT0gUAnATSrBky4 Vq+RMEnyCJlIhqINBBQEuA4+Dy26Q6V3oY7cu/MzKOoemfj7VHJ/TOrYRKcqMl1iZeSUIunpcjQB 6qx8+ML7kxUBdncIu46KKqxXIoU7OIUlke86wxQAfZf1M45YS98FBKizipCbolO/fa/Z3Z8Tpfcn OqWrjJ9+VDcmlQDdjwaSWUq22Tm1YJ0wxT4EqLMysXBV0kipJRGsbBIMlkLNqmSKU4vTt+nUYnuY 7lsCaFaNv26F9wqWk0j/L92OhTvNkrlysVvvVGpzydmopdypb1cRs68kgGbFtKNv9XCFN7/wQ3EZ WW/Zvs3Z5XlzpVNy92l5NAE066/wZUuk6Lwpqx1RKdQlWL/GYfuor/s0ajOecyudSo03cmocCyPs TwDN+jdGpRLJn22VFnmqVl2C9WGKRHaGjsx1Sl0hqjt+SJWprQmgWf8QDtdGpE1hAOrFzmAppDtm 8ubplnezgvvWKefdKd8B1muV8R0BNOuvTKgIlm8XrfPmspenmnpx9p76pybt7JQcIC1fIIBmtW+m U0uJOoHCoin6VTH7kXqilR2vdGolQOaizvo3B5wkhZugWT//KZJsRB9H+ipMlXcZMWykr9xCWh5E gOvg42CVzrbSoLqWly2qK506aEFiapMAe8MiosvEqJkKNIDAEQTQrL/CpPv17YhIYyQE7iCAZmXi OPgD/x2ZgRcQ2JMAmvVXXFCrPdMUqyDgCaBZJAMEIHASATTrpGhhKwQggGaRAxCAwEkE0KyTooWt EIAAmkUOQAACJxFAs06KFrZCAAJoFjkAAQicRADNOila2AoBCJjfI53eDZM+HtOH4axb/K68nfhK p1jnNxGwrbNKj073BKMG0SN9bwKNLxCAwBQCtprlTExfWJC+2+asCmsKegaBAAQUBFZoVmhWRZuQ LUX86AKB1wis1qwsX1d28RyY15IPfyGgILBCs6InmldkS+EAXSAAgacIrNCsFCjbwKeSDGchMJHA Cs2KHkqVvsgvelEVm8SJAWYoCFxGYIVmOWSl2ir8O/XXZemFOxCYTmCdZnnTKaOmR5EBIfAOgaWa le4Kf6CjV3W+gx5PIQABBYGlmlXaIfofFuu7SIV7dIEABC4jsFqzQnycXl2WTLgDgQUEzO+RXuDD V1NceTvxlU59lSHMa0HgyzrLwh/GhAAE7iaAZt0dX7yDwG0E0KzbIoo/ELibwHzNqj8Da+TTuyOB dxCAgITAZM2qXy8q/JSLTiWRow0E3iQwWbM8RKE8vQkdryEAATWBmZo1olPpQ5bVLtERAhC4mMBM zXKYsjfoeILNT4+40DQ8lbtmJ3ulUxcv3Wddm6ZZ4dJNdUf+aTYSm+vC5uY9m9w4fiWBfx5qPPFf 9tlYv/Gjq6v9Infthf93op0MBQEIHErA8N4dr1ORJDlSoYql94vUP/2cdVRYHbGfbUK70qmm1zQ4 jsC0vWHJ86xg+caHXq515XMKr3TquAWJwU0ChnVWuOn7/ffIIdcdhUwzGDSAAASaBMzrLGcBotOM BA0gAAEJgUWalTXFC1lW0eqfSnyjDQQgcB8B273hfbzwCAIQ+JbAl3XWt54zOwQgcCIBNOvEqGEz BN4lgGa9G3s8h8CJBNCsE6OGzRB4lwCa9W7s8RwCJxIw/N0w+waX9Hbi8EIHbh85MYewGQIrCVjV WYpHHaRdFIOsZMdcEIDAegImmtXUml9t5f9FPpf+vh4NM0IAAhsSMNGskp/Z3WK2sVe9pvxtyBST IAABOwJLz7OyApQ+QotTLbt4MzIETiewtM6ql1S/T7mV+vR8wn4IWBNYqlnhMZb779S9+vO2rHEw PgQgsDmBpZrVZIFgNRHRAAKPE1iqWcID9eiE6/EI4T4EIBASWKpZv4lLslV6WhYnXOQrBCAQEvj+ d0N/9F75VZGYQQACEHAEltZZvc8jpcgiTSEAgYiAYZ0FawhAAALTCSyts6Zbz4AQgMBrBNCs1yKO vxA4mwCadXb8sB4CrxFAs16LOP5C4GwCaNbZ8cN6CLxGAM16LeL4C4GzCaBZZ8cP6yHwGgE067WI 4y8EziaAZp0dP6yHwGsE0KzXIo6/EDibAJp1dvywHgKvEUCzXos4/kLgbAJo1tnxw3oIvEbgfwA/ fg3GZVRfxgAAAABJRU5ErkJggk== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0015_image194.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdhBgHeAHcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAABgHeAIcAAAAP Dw8XFxcVFRUdHR0TExMSEhIbGxsODg4HBwcGBgYeHh4ICAgDAwMWFhYcHBwYGBgLCwsBAQEREREN DQ0MDAwUFBQFBQUKCgoZGRkEBAQCAgIJCQkaGhoQEBAfHx8+Pj4uLi44ODg8PDw3NzcvLy8/Pz8k JCQgICAyMjIzMzMhISE9PT07OzsxMTE1NTUtLS02NjYsLCwjIyMnJyclJSUmJiY5OTkoKCgiIiIq Kio0NDQ6OjowMDArKyspKSlMTExcXFxZWVlPT09AQEBRUVFbW1tJSUlCQkJNTU1eXl5aWlpVVVVH R0dTU1NFRUVGRkZdXV1XV1dYWFhISEhSUlJQUFBBQUFfX19LS0tDQ0NERERKSkpWVlZOTk5UVFRv b29sbGxxcXFzc3N/f39tbW19fX1gYGB0dHRjY2NiYmJ+fn5oaGh5eXllZWVubm5wcHB1dXVhYWFq ampycnJpaWlra2tnZ2d8fHx7e3t6enpmZmZ3d3dkZGR2dnZ4eHiAgICIiIidnZ2enp6ZmZmJiYmB gYGUlJSHh4eGhoaYmJiDg4Ofn5+SkpKMjIycnJyampqKioqTk5OVlZWEhISXl5eWlpaNjY2RkZGb m5uCgoKLi4uOjo6QkJCPj4+FhYWvr6+6urqioqKxsbG+vr6lpaWjo6OoqKi0tLS/v7+1tbWysrKz s7O3t7esrKy4uLi9vb2hoaG8vLy7u7urq6uurq6np6e2trampqa5ubmkpKSqqqqwsLCgoKCtra2p qanKysrBwcHExMTAwMDV1dXGxsbFxcXb29vDw8PCwsLW1tbY2NjS0tLd3d3JycnIyMjNzc3Q0NDa 2trX19fMzMze3t7Pz8/U1NTR0dHc3NzHx8fZ2dnLy8vT09Pf39/Ozs7+/v7j4+P09PTy8vL29vb8 /Pzw8PD5+fnm5ubu7u7z8/Pp6en19fXn5+ft7e3q6urx8fHi4uL9/f34+Pj6+vrk5OT7+/vg4ODh 4eHs7Ozv7+/39/fr6+vl5eXo6Oj///8I/wDBCRxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0BH/htKFBzRokGTKpUZDhCY MGKA/RMXaEyYMKD+Ld3KFeW/YABAAAkRINQ4ACKCCBGktavbtx7/CQNAbugIEuUAkBlGrC3cv4Ar /isGoISJIQLKjBtwAgUAUX4DS56s8J8xAGaIAChS7l/ff4MIHItMuXTpf8gAmPtXwIC4QaOGEgKQ jLTp24D/JQOg7B+pAGcKAUCTBoCac7iTTx5naNlQQ2H+EUqhohQ628qzKz2qtSh37eC3/v9Lp26o 0X/r2g41dw57+Pc4/zE7sEZ9GATpjS5bwQKdyKMqAdiTgF4h9RF3CAI4BAAJNDOUMwAAwIZW7BgR 4TMJZqjhhtCYcsqGIIa4YTqmDCPiiSimyF07ppjijoowZniKKdHEeCIqOOao446HAIDIAEX8w04L JbShgDH/vAOACwsc8Q88qaiy45RUVpnjIQxEKIqVXHbZ5SovANBAIl6WaeaZVZYRIQyroOlmlUVE iMSbZxJg55145rmAG/8oAkAqrADQyj8HxPDPGgzE4woAr7QDgAN5RirppHc+ECEAEFCq6aabWhqh ApyGKuqok0YQIQKkpkqpABFKoOqo8sT/KuustMpDVAkhTJCEraAAEEYA0f1DggxKMCANOLUmq2yy /8CSgBDiILvstNQqC045sbiAgjLSVuvtt+DOCs40MKQQT7fhpisuO0soIAu66k6rolGzABABM1qV E+cE8AwFTISL2BgiBWwJbHAQLBiscIzUjLGwjYxU8LCGEv1TzQxvmPePNSgMKpA8SlhQXkf/nFNB I+79x4QI5vH0zzVgpBzSP4dEMI/MKf3TDj0EnVOPX/+EQw3OE5V8MtFxrdzyTv9Ek3GANd88k3cC fheXySgHqDTSMDX9dM5Rcy3UP+ZEC44976yzDjxGsaP20hwZnXXOWw/otNgb0Wwz3iIJ//OAI0O1 8sAJM0SHTQkGEDAEOQdizXdG/9Tt8t1Q7921MhEG8s88NDQBBxnpBQLAPW0AQMjjDMmN+kWRs7x6 zpSDbTlM45BigCH/kHMBAClgEAc+NTwCiQUH2AA35I5r7brdX3sVdkxDZWDGP+Uks4pvAESigXBO JHLA8Riprjz4N3n9umDPd/0PBPXRg8jP42xASxobyGHODSmQb5H4dC8/efMn0ZvU1MeAOOQOAC1Y Aw4AQI1a6GUOAEDS1Y42vvMFMHbOm91LhqIGW2jlFk9gARQWQY5x0IEIRDhEORpHwf7prybmq9wA oaceELFwbl6RHNMwGMD0wYcg/Muh//92CECTCNCCfwliAHWokxjKboY/FIgSjcjE8yCRZDw0og+l +MLTJM+FkfnHPVwRDRhmsSRHBCI8agGL8EzRIAiyYhcT0rqlPSkDAJBEhgZiIJI4kY7fsVpE0sjF JgAgCnuU4xVlopUKTEJm/8hHHZqwhnBohRzRkIId2lMxKdygZUO5AwCgMJRqWCMIR5gCvohiDUjM I4DEgAMk2UEJKjhBj9d6hBOqkI3H/aMSEbAVF3uUg37dQx+oSEISZnGzf7CBClaIguYWyZKj5CMC kbAV+f5RhwiIoQIIwMU/LBEhBrCgHg8hyj6s8AJxEKUdV+BALv6hjSh0YBIAsIMOMID/BeqpYgIA cAY1EUIUcQiDDXXZpikAIAZLoeEfssDUDnIgFYcQRR6OwAA/iHIOMADgEuXoBx0QQIgCVAEKHODB aiKBhywAQAtzbOI/+HGJO1BgAxHoQSJCAb5/LGEHMyVCDqJRgCmoYxEAKAbShqKLRRwgARcoQB5O twQHQENIMcieNiQwj3NgglHq2EIINiBBkBAFEnggXgQOsAhT9DQTFxCSHgAADTL04FwS0MRS/zGL RLggAhugwB4uIY5GaMAVQ4FgEvRBm68AQK//2EYPTBCPgeZMHnYoAAJa4IjESMEFAIiBNUjzDyX0 YCjK2EAgEMCNSERoaAsZyi4cIwIw/3AhBni4gQSmMInyxMMFDpgFPGABAHz8gx4kgKk6iNEAYViW j75hAgBuwIdFdKEMIgAACj4URkEooDzmWEAfwDGOfzgiAbcg2j8OtyQpwMEBm2gBAiAwhGlMZQkX iEU/0gGArDgTARwEQA7oENPypaMKFwCCPoZCgUEMpUcU4EUYTUsUBmyCACdIgBk0UNHKcGI43RhK EEYwlGh0AACBqAcFSvCzf7QCAJVVRwy2MJRmAMC5IDkHHgDQgdH+gwVCGAosSqAAwAHRu+X5hw/U MJRbaGAJc/wHLxhQAz3+oxcSI1sSHHAGd9xAAbXRGQAk/I9LBGAo2wiFCFRQ4Jv4Af8ApFjHO8LR jAhoIhz1SEcmAICAF/ExCkClGQcGwQAOxMMeAMAQIOcKiHe8oxneyEIK7lENf8iCBAAYRCYWbJRX AIAdUgbAPCN74+cahRcAkEEq3BGOcKiAC+GIhzv80QcAtAGUj1DAUIRRAdwxwgAPxdk/9HGBIuDD H9WoxiIwII1q1KMfampDLPpiFH/8qWQhuAIubv2PPpzW1EJZBgASIQgOJODcANDAuRmAA2EEYA/y 4KMRGlCHOlyACec4wnCke1U6amMCUIiGAyYwAQ9sYAMBIHgHwECEBYBSFQBYQh1uUAFtYi4Vzz1u B47QigKcOwEHX/djmzABbagHEgD/SEMdakCActABABKAQxlWYQ+FyIMNFuiEDgwwAQPsrgI8L4AF XNoO9aADAEioQxUAkI01AKDeAqAxuGf2DyrMwB3qOMGltg4ALvyDEhcIsRRPcYYhDOEORbeGGoZQ hVjwjI6i64w37oGPU0SIE/i4xz3CoQ0E1EeK0+hDFYYgBVLE+x+0Hk1cAEEBgcaJ6xGaQbQaQAb1 OKMPVhiCEL7xjzioQQ5FGIIY2EZQYChgEfpoBt2/kSUl5EPv/ejHCZzUHXiUoQtsZwQ4xPEHs8vB G1On+gnOMBRfQB4AE8DX0XEYxzgmhBxngOlRoBChbxPlBHIAmiD7mLc0/GAo0sAA/+Q18IuhJAEC P+MigBJEx2EA4DpE+UMDACCAXRzlDhCorPo3tB2fch4c8wAEXLcBSgUO+9AHZ4ZE/2BjHXYOi7AB lwIG7jQUWWAHwZc6dUADREEGkPcERKENAKB44bMb0tAdpeABl2IARWcUysABmmMaQ9EEjNAdzCB+ l7IHLQMICdBmlZENAFAL3XELkMcWRtEKfnCBsZWBRPEOC3QpN7BRRkENTHdFugEAJfgP8ZAlW3cH 3fEPAQAISOgSQ0EDiEQUebA7AEAAwDcU76ABsgRdKVIQ+qAFKDAU5VACkNcB6TAUKsAncEg1FBM+ d6ADR7ELAfApGEcUYqABwLd/Gv9jQ3wEFtcBDlEAeQ0AWaOwAGWlSIrkfC7zDynQAkkWYBpwCEdR CnQFNKdwCY0AfPYQC41wCbIID9fgCZWQUEC0CRgwFJsgAccnBv/gDRZQB36BDoLgCZdACkMRCoog i5cADPNwCJ3gHAoYBg5QDUfhJ8bBHRcABiskRbJwCZ4gUMNmCc64DenQCJggTmG0G24lCwpwfAgw WlNwAqBUDbHIXdaQCc4YG7FwCWHmE4MBALYQCzMwAzVggzpwkCkgOFRgNkbxCQ2gAhlQAG4wDQDw ASqgAiSACg4QAhywAIlQEP9QCxXgB8egheNnDXOgAOlXhAAAA6DFAtNgAhewkSr/IAhVMAA1kABS kA8WRDYcwAbRgAMzcAIOECE5QDgzUAp/QAGxIUWooAEqoAOjlA1egAA4SQsjsACWggM1QhDiwAUd 4A+gdXyYEQoU0Aca4w4fsAAqAAA9EAp/AAA4qQc6mAIAwAK94TLkoAxMEAHakAu0cAq0wAF38Aun wAqqMAK8ATRM8AJD4QjZEwBD4y8AUA/eIAYBpX1dkGmpEAqo0Jg4oAqqMAu7IICcADQvNhSqYAFW QAVpcBQIEAnggAsAkAc1ZxHncAyikwWiUAun4Ao/0AK0YAu1cAt1AABMAEqV0AC7ZgB38AOIQBTz AAC/wA62EABIYA9AswwbgAKh/xAMplkHDMALqvAKxfANFhAB76AejmJy3RADNCAG30cUW+CB//QE EBkf8hADBiBZIXAJREEBM/gP1HAHF4A7QFQHAVAFbABajOAAPFAFPCWF2EA2PUAEdgQPQcABqEAU RkBixxUnWWAOQFQvTXAGTqAByDAEBFAFjlAXEDA9//Bm/rA6ywgA0fCZVtAe/7ADU0AUr2kE/cJH orABSSAHfIABi2ACNlAFkGAP5JAAkPBgEsBJfEQJxiEV/8AIEUAUngADOoAvfOQPHEACU1AcWbAG FVAFdPAiScCh/2ALADALPJgz9CAJEvIP6BCXJNAI4hAAgWAJbxAhbkWSbOAAe/8QIaDgDglABXuA CQgKAKt0BoEGRP/wBxvgAHhgDlIAAqxABwQAAHdgFCkKAH0AUBa4BTOwB2hwHeyzo5NYMeAwDAVA BO0hBwDwAHRQC5QgB+lgBgKwAXwAN/8gCg1wBwaQm+UgAz2wB20AD+eQAJkwFIOAAVrKR7gpIddQ CRZACoCQXS/QD2HkDwxgBSwQFvywBoL1BkMzp0Nxna+QpwE0C47hCZGlAmQQDUUAAhDQAKZCA4Fg rqQVBZK5Z9GwDwDAeUPxDQAAfPygAglDWhYTBgZgARjAAUh3BttwPP/gaf+gCwBQHzvAlkQxAIkw FCfAA0c6SMTAA4f0JEPABPj/IAdIAAAzgAAWYAB2gI2klWv/gDl61AHTVDIaUAoiRgHbykXoUAhZ 4AMTcAG+aAXCQA+kZW3OhQNcIA9joIFEkQVS9wsAwFNhKBH1QAMa8CHBAFAOJmQcAAfQuE1SYDzs kAI2IA22xgugsAqhAACYwAszEEHYsYTIQAQ6cJk9BXHGZQiWygI7wLe8sAwdUAS8oAQAkKgV47gW IA7toJdm+w/QMAhOgAzp0VOVAADu1AkAwAkyAASSew0XcAe84FKTAEj/cAxwwADB0Bs95SiI9bdf gAYIwAt8Cw1DMAO8YAZdNw45EQ9KgHGxoAGPUUMUcKUp8w9t4ARDoQ0ywAhb/5ADH/ABNbALKbAC H/AEqJA6/yAELfBCcuED1+EOQBAHekAD4/sBndAHNfABORAL51I0jxAI+GAMJ6YH8XYexxBsdIQP abBR/5AEgMAG/Tu+riAKJ/ABMrALL0tHj5BldJQPPgAL/2APZeAFlqAD+QsHiIADb5kIfRkfQ0EM AFAAtcFHXwRIXNSJnkhHVUSSNcTDglQ08uAY2MtHZwTECXwiqbNFB/GIIsI0EfwDn4HDLaTDjsh+ DVFHkKR9/Lc///AJBlCvYZTEJPmHidTEGkRQNQSJPOENnAZEObxEQxQT/mBJpGXG/+HEydFTc0xF daw+T6zHM8PH8PFGfvTD5f9DyGZlyNW0ffZaMX+MRopsE3/UQ2v8EvJgD+dwDljbhcgRfIgsFJVs RkWERo4cIN+QAx2QAQIQB0YRDiaQAYk7daOsMoEcH4x8IKmcM7uBC8YwCBGABvaAAjFADVDQTzMz yYmcy4t8yn7Uy14xF6nQD+1gAjtgDxvAA07gCsFgy8xMys5sybscF9IcQCBYADA3AKAgDhjgAE8A AH6wmzd0tkBUyjRxyVqUyS0hFwBwDbhJqdYWosy7iSQTzrgcySuhz6jMz9UEFsbVBBCQDGeBB/8Q BQLgHGaF0DODz1NTziRzzkbEDAZQI+eAAylQDlsAAFZpCeB8xXSs0AEC0nH/I9JGdA3vYBTFgKdf oQjX8x8cbVYezUg0nTc2/R+PaEWduMwwDcgyDTvQLBRHbVagwQ3qcQydADS4MAv/QQ9NTcnjbMr2 zEdTfSCi0HWh/A82AACy0B39MAANoNEH8g/XO9ZS5L5P7RVFrRGEFBcnAg8HcGJA+A+cEAEIEAPq IBBwECFKOzEJwgcNoAeOnSK+MAAxAGqTndnc4Q1HqNkiMghZ5tn/sAWkXdqmfdo7AADW0AQPIA7o YAByALGUGg0AQAlM0LnoIARNcNq83du+Xdo9cCkj8NvEXdzFrQWXYgPGvdzM3dy/LQIE4NzSXdw4 0ABIMN3NHQfavd3c3d1//4Cn1IABk1A6PyMFDWAOVzAD9CANF0AGUhgFftDd8j3f9B0HfhAEEUIB YRDf9d3f/k3ffoAGzXoBQ8Df/33gCJ7g3e0HZ6ACBq7gEC7ffrAFF0AHDx7h/R0jJ0DhNioNA2AB DVB+nQcAAZACnzzZg3ABBCraG2INJ1CxLJ7Z+HCsMa4hWBbjFbMo7tIdiDBKRJEPeMRTJEPXjxQg QfBJdk0RDB3NDs0S+4AGP20U+/ACimYUlWAFef3EQX0gQw09ew05ZY3UYYSspnbLHR3W+fzl4RPm VN3GUMx9fL3lSYPmHx3Ve9zkOXNlzmAU/OAIn/AJq2AUoEAJgWDV9VxBzP+T5H3tEvqgBiVLPXqw Ak/wBJHwD8bHAi9QAfeQcXJOMl3eNWrOOmxOMstgAxqgObrzA1dQBenBBp/k6GV40F/dzFluRKG+ P6M+5BlQH/MgAhDgCDdwAq3AALBgAiR+n7KOQzGd5EVz6+iD5wUyq/LgDqDGX46wAPGMCWPgALVO kp0eN5++Qc6u5LkeN/8gAPVBDg/wIfyVCx92CumQAHPQ7XI86+JM70Ix7kVT7nnzDxFwrOdwAxiw AgRQANuQDBVQAgKQAVCY7Ioe7mKo7xXD7xwBC8fyD+oACr9AC77LDbvgCsZ16GCU6DLE7GzsiPu3 0fae0CY/SBI/SBQPF2b/LtR0TtR2XsjQrh0zz+W53PJ09PIQsehRtPNzTub4ztdAn04x/xY7D+c6 ykTywAxTYIopz4m2fvONnPPZMfMzhQ9JxkVQlE6S87ARgiTzig/wZxT9gA9of0FYz8ta38cM9ghw LkWq8AATsACf4E5GIQw8kF5BPxQj6h3F0AE8UAkLaAbPMAIGwABhcA19MgEFUADvG4ZLLtVxD4ND 4Q6PwABSAKSF+wU2kA2OPpsztTsxY1FE4QsvQAAfOxSMAABecDOdcAE6cNbc4Ag5wO0lcATxgA9x 7EdJb1FLvxR+Ogg2oM4AsAA+cAJGgNkkGQX58w+AwADGAA9WMAAe8FBb/wwOskAEg0t/MFADL3AM YxBso5AAITAMUpgewFABieAFDAADJaALzXD1il78SfEP3AABqmoLAOGLgSJUkCbQ0PTvHziG4P4p 6aGQHoBRnAD8MiFmYUOOHP+xswLAhS5QLahY45MBQx9x/yyyKfevFYB4CqEMQdNF1x0AcTZ2BBpU 6NB/0d78HJpUKdFDEeYhXRpV6lSqVR0+i2BimMJ/CBgphAcCwBmFDR+6UFivASINAJgEyIGmrNB/ x14s2MVVDQ+ufwDcYVcBEddXAND9s8cDyTmuOcBAtSq16NHIlc02fWpZ82bODOld6WDoEKJEixQk SZTI06YnAEj9/BcFxv+8eU4EaEvEZw8FHIbmAl3IAgAoR3/G/IGxQA+aP3qmRPhDr+y/wtnm6QLg akmseecI7IHcGbjR8OKZOi1vXr36f7EAJJsJQP58+RjkhVDxziwbAAIEbOiEq39OKCM9hx7BYJB+ moACChHkg4FBKjqJA4BuNvonFAAsEIADKP7xyz8E8lqPLvJKXOofzAxEscWqFKrhiaeEoI++SP4h BQBtfrrHGFJIAQapaKpR6p9NFBDwH+wACETAdBYgqyFztEmFlGD2caiYHy90saPJWERRRfS6JNMy hWLIQyFpAqgRgB7S+eedAZyYK0mkfgvqn3V8SARDfRyQT4FtFlLoAzf/YEvSIQHLNOtERj1a8VFJ o1Jojn0IXUQC+ga4hdBOLsCzsn+yAYAYQvnpgb4TsiHUE40mlczRScXMDFZbgfsHiFoInccG+r5i yBwuCAg1sn/u2SAOhv5Zo800CI3k1VuT+tJWWsGctkuFfpgCQ1fmM+FSh+LJDtFF7UyUI3vQYECh ZdiscQNkFCIgCnO5UhTdYs2rFtZrs81WIRc8qIYrefJgQAJqBJwFgHkbyoaUWyYuGJlcJn6lHmRa uSUXc+4MhIN1yuGiTfl2OOcVBST5KZ1hOM5lx2tgmRiVabqZZWJlsDVWVkn/BXjaf5KJ4Axq8tBj jUUiEABpPQCJowIs//Ixyw0AZpgBhwdQwcCBGRbIgREABnggABcYMyubDHJ45gca3qaAg7dp+OGR HtDCMBcAFpjBAgAI8eKCGVB4IRodOHjggAqc4flFnx8FOmh/udnEEwBEoWcffdrBABN9PpZmhgF+ +wcLHfzhpxEAFpnAFH/+kQcYAKKRRxYAHLmTEACe5YoJEbhKhwcAjIGtMGjeyQSAMrgYwh/G/nEA D3nYcQCEccJ8nNHIJdf+n31YkICeTQBgI8h/KOjln3k6qWEGa+4sQ4MBCgBAhmQKCGCAT/6BptSF vCgBnv6highcQRcKiQILFIILDlDAFPLwCCwAUAADGIAA7BhCAgaQhP93/AMCgFDIKgzTuKn0a1aR 4p6kQDECD8DiH6mow4NEAAkGqCEPA1DAFDroJTnIoBsA2IA72CGBSXQDG/+gBgCYoZApROROSKQC ADogiRvUABIzAMARciFAvXGDCAN4xj94QIVuUEMdHlyDQjQBgHaQMFaU8RcKU0imf+gBADRgVSAA 8Id/wGILOtgABGRQiGYUKzYq+AcjKhAJewAgjAr5Bk3+UQoO2MGQ/CuDDxDAAR8ooRiGLIw4skED RMZADgIawGBINQZ6YA+OJxzTHMlEDAgcAU529MESuVKBSuArT0X4gEL4UwsA/AAJSKACdl6ABACc QB/p4coU+OJLL4n/0Bv/0JsntsCBYyJBFCdwABIi8ABVuPGN5uTM9mSJonmggh3/8IMCntDGZdGj AixTyi1i4ZB9RMIYkCBDG9pghm90Ag9twIU8WKQQ3+2rIdVwBDwccopdvOITAm0DLHyxiDYkQh8u MuHP5LjOMCkEEwBYgj1gc44KNGKhGNJXuorUUAPhK6Z2alFIITdSkpZIIWAggwBZ6lJr0bSn43ml SGN51JyG6h9DRedm/mFUpjYqqTtdalW1B9Wi/i6q/spemdSpVW1x1V9U1apOtcfToCUKpyA166zQ WlW1ipWtAVuGKWJRitelIhZ/dUU3ytrSr5pprkytKx3veqt/EGMA/wSogQdqIA041KAGMGgmPgpL rbj+7LBHTay2FmutYQAAHrALgQIVYgwD5AGCOe0s5D7b09CCdLT+KgYApEAHQ6AgCVwhgwRUClfC dtWh66xtTm87q9wOAQIAAMI6FNIOBQjhuOmMrfZmS9Lkhmm5PxMGANRhjp5wRQkUKNhgiXpWr5LV IWFVbFYB9o/SDgoSG4DDP1ABgP3RMbti3S5y4Sta+QLMH1O40D/UkIV/PCIHMfFvcdl73Tl216ff 7Z5C7OGQcpwDHPa4FIWl+l86BliWFl7PWK01HUKxOF/EXa9c20tWFLMHw9qqhwl6uSxM9IAcLV5C Hz7aVArE+GdCIP+BiLn3D2k8xlo3dpE86iBFfijkHg1YHaFSoalotDjFnAOWrZ5wBSW3dRy/kMuT CyyemyZJGwBQQwOOso8q6KAKBZjGU18wgQqwQSHPa3Ogk4QOGgAACTURdKITjQUAEKDLioZ0pAXd iTsKQ9KXtlMmLlCrEgXC058G9acLUQgZsGAea1DAN7YBAOsqgE6QAAA1VPc+ENihEKHGda51HYhC MFo+Lhj1roU9bF0XIhHzocKtib1sZjcb1IUAxATk4wBEKNvZ1w51IZzwAE6v5xvfBne4xX0MxogD A5qYBACOaAkAZKIDRVDfCp5AieFYQ9z3xne+v62KQmtB3/8GeMD/odGFRtvC3gFHeMIVLu5ojA8A vTj4wiWO72n447UljbQTDACAMTBEHC0AAAeu+Q9WyKcOmG4zOjDwFZS3fAtkbnnMIz0OalAjxDK/ 9ImlsaHXOUQMAEgIQ87RhAvUY7O4KvLRrfIPJJe5rdR0r7aS4YqfzEMRPXfIN2yhdC+RWFsmjnrY 2VOncy3Kp14HKdjFvnbN+NKtMmUP2nOqdrbXnSr/qAcRMqEQYLigBDjwSTi+cIIQ+KGVZ5ewjJ1u d8bT5RgV4O9CHAECNJjiGioCgC/AAIBVcN0jcg8T3Rs/+qCs4xIIWMQ/yKGACWrBFvAQQQuWQQAA vGDxlAK9T0VP/3reL+sfHTDDP8rhCU3A4gwAqMQFXAGCDiABB7dPUe5TvPvek14hEEgjO47BFQVc wgIGKIE3loAC6BdJ+uyhfvUbrxAE8BEeGMADK0QBgFuY4eGPKBfijSzbGavf/7gaAZeSB0c4gAcg gCUwB37gghkgADvwMP3zvBJKv/8bvbKQB4UyGEUJk/PjlwmkQLYjO7eDurhLPM/qvw9EwfniQDbz wBR0QTZbwc6YqhP0vRe0QRiLQMmYK4Wohnq4wR9MMbmTKbN7EbTyHjBAPX3JlxEEwib0EnvaP6CQ B3ugB3pQKEWpQuibQbJzBACwAYmQh3KowuGiQnqwh3K4OCdUw/8lrIC9c6h/WIQc6IAO+IFk+IcF 6YAM+AJ+uDsmGgF8KYcwAABJQIdqiIQfKIUTOIAOIIA+UYI5/IAcKIQcXEP34opkCIU62AAwyAVL M6Qg6IBcyIUaKIF2AIMNcIZbGAFToZR/sAZYsIQJUIFckIVLYbRfUIgqAIA5yBFTyIUysBBreIVZ sJodq8QfVIhj+AIAMAAIkIAA6AAAuAJeOC0viQJEeqEG8D4AGIIvYITDoxb+KQL5yIAEUIAOSIAT +ARJuBB6mIINwIR+SAYAeCZ++AEg+DMVmKZjvMGnsqMO4AR9KAfCQgUnqJ/38QiI4AoMcIQBSAM9 UAMA4AYwUYX/CpAAP1iYhtoGPpAAASgGe/gBA/DEW5Ckf+ACKoAkANgKfuxHdnOB7RO+CjgErigE APgACFuWa1SIUdAATNgAS2MHR6qpnTuDfOCKLrgBrpiFDyiBZVCDnVGIwhiHO8yBL1AIEMgBzWLJ F/wHf6AAOdgEGHgbG9gAB3ibH6CCQWCAoFsWIzAAQRAECGCBfEiBBxCERRBKosiVB9AGGkicD4gb FHiAB6CBO1gBJ1oWkowEQZgCANg+/MMFStxK5PoHOQiAfqgHBDAZAAiBf9gDD1iiZfGEF3ibEXgf c2ACGtCBP7geotiFAAiHckAFVFAFi9ijW5hNZ+CFBJjJZaEG/xFwGxiABIVghC0ov8kMO4VAgW75 B0nYzK3wBom8FxYjwqCwhzdAEgHZA/n4LQGpASWYThdDzhRUCCDwhYUYhxdok8gEB3Nogj6YTrNg Qo9AFj8wi1loC/lIH0XZAT/zCGo6zvGMukoRl3+YBdabD3wkFN0J0LSRTofwhxhQlUJyiFfwA8kU 0OT8hxjAgxZrTPmYAGjYCHEwAi5o0GVZBx2IAUVhphr5Aen4hyM4uQytRIXQgSe4uX9ozAboE65o h7z0vUjziE3AAIWwhfysEdxxBwGIkiC1qTaj0fVjOpUEhSagAiDIAPnYAiqgAiZQBjTAgCBZFl0Q giZogirghP90uAMvsNIkkIUFa4Ik2BWPeIQEaAVx8IDNvABioLeFWRZiiAIuaIIhCIN/6AQmsNIm 0IVIqAIrBQRxiVK7swdmqJ9haAM0GIM8UAAg8IMxiANN8AIAoAOPEAIM8DT1nIQNWIJAaAM+8AUA CIIxiKJrMgtw2IEVwAZPS43kSA1e0xsnWxZVAAA/CASD5AWsDAQyQINQWIASGIM3AABLiNS6UwhC mIFasIAuEBAKEASukIUKmIJqXJY+IABI2IVPAABMsIAygAR3cEUACId/QIcPqALSyQYY6ABE+4cg +EOFoEsboKdl0RBEwAVgPIMqeAFIMJ8BaJKpooAdmtYB7SP/BjCBf9iGDDCAPdgR9NmHXig0PrqT OaiABwEASgiHCqABEQi+/tElJcBGj2CHUB2BXUmgAToCBRABiF0W23kQDugDdACCAhABJohX7Fut EYpYS1SGJNCAMzgHdTgDQOgFX1GBBsiBBagAKXgNqIiNJAuBDWiHoCQeheifQQEHJHhZjwAHMCg0 GLAACkgBAEgBWngnLymMf9AECui8HcACARGAhPgHEEABd01astKHEQCAx/gHFwCATVAIWnCEBCiC Q7gHJvwHI5ABeV0BLeCHdquGZqiG8GqFZkgCACARXEEiTCiBD+iErTAkkjS643MHk/jcZkiHDLCD ZuhCRcDQ/8JVj20AATpAB23YzjZQKa7g1vl0CDdIyn94TUiIrArKgFgwgAnYOClIQy/hCiPo1/Iw 0AiI13ugATBIAwqoIAOIhCNAAANoABkITd+lq0sxhwsAgEeoE7nTB/1wCHSAh3WIB3zAh3gQh3b4 334QsS00kH1Ahw37B3h4B32oBwDGB3Nwh3DAh3o4I/ilsX+QgpUgHSEMT3ShFBMTQSjVYPdKhcvr uhLkvxM9YRB0qhhMpxZ8YfV7KhbWLhqs4YARoN41CxmWKhreYTYLNPXyYboQ4iHmDHZAhBuIgRv4 CnuIhRbgAV9AByM2riNWYqIIrzhwhD1QgEMgB+XhCWDdQP8cBjAd3mLtQYaS1AIXKAcJwANumIAC wcEsXmNrebMCmAACoABPKAcMAKI96t0bjsI0duE8fhFjoAi/aBI7OABc0AY1EIAjgi00LjE1VmSQ Cq/XwYEUqIcR0IKUdKFLPuRMTuRNloxUAICdCYcBaIKfe4EvaIAb8Ic7njAtVuWG8IY/0I9/sIVM YIc1qIM+EIPXwGXF02VVfjsRTmYTTOVdJgptoFWHUIdSXhZgoFBTXmaPSGJpphYNkYNyUBQtsBBC aWMLOIymqgBL6GazkIJ9BOc+FLR9SAEs+yTnbQsgUCl7GIEKaICTwzl0kYd+iICGHWhFSwcv2AEY TeiHhuj/iIZof6DoirZoi16HNqCIEkCLdJgBKtgFAKCFf9AdZFiDIu0jbLjolWbplqbodUAFCpCA CvCEdXDpm8Zpl16HftCBC2gARbDpnBbqoSbqojbqo0ZqpM6DpWbqpnbqL5hJkowF5zwiHhCAf2iB AfAHUrgASFgHAFACPHDqsSbrss4DPFiC+fACsTbrtnbrsj6oDZCPI2Drt7bru8brvNbrvebrvb60 IRgAAHgDh3AGBhABCrgEhZACAwgBCJCuh94HPmCBSZDoQKMFFtiDZ6rszebszr6ppZMdBzBgh7AD ANABrrgH+RCFHIQ7yFHeeVaPdugEUMAQduiE7VuWZBiE8miWTx6GbbHq4Sd659+uvhA0buJ+YYVw Bm9gCHdIhlx4BWZwCGJ4hVDAOuT23X04Kd/oIwA4ABQYAwMNAAJYgRogXOxO2n+4BgYAgEmkhyp4 gmpoB+loAwkQBz8AAD4YbvSWVH5wgKAiBwTwDwdoAmoIAEBIHgB4vv3m77VTiAxII3loh21gBlZG hArQgz4rgwPg7Qb/v+tLI31oAwxsAEiI20KwB9/qcA+34X+4gPDeBwh4gC1AXGvYLytQz21m8UiV hGRgCGnAgzjgA1ZwCFPwgz8IBgbfcbEzbiVc8ieH8iiX8imn8iq38ivH8iyXpYAAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0015_image195.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAGEAAABXCAMAAAAj+9shAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsMB2mqY3AAAAIFQTFRFAAAAOTk5KSkpKysrMzMzMTExLS0tWVlZT09PU1NTQ0NDQEBARkZG dXV1aGhoenp6bW1tZGRke3t7k5OThYWFmZmZi4uLgICAjo6Ora2tubm5p6enoaGhtLS0xMTE2dnZ 19fXzMzMz8/PwMDA4+Pj7e3t9vb29fX14eHh7Ozs////b1makQAAA2lJREFUaN7tmel2oyAUgC+d JaUzgbapptHgEjfC+z/gaCIqoiakcLpMPfmRCPJ59wsB4fqCb8I3YWIQujuRJYLRZUWGaCO/ra5e yYwg7lvtRGtXhPx+EwtR7O4LV4T67V/W63VQCncE48nvSFhyQKMHYOmVjGX4mIQKJ5eW5gi82wlH BBcJflgBNyHs4gGh4pcJKSJkIMNhr2ZFyHSfuNtGRffAFTJQ1skQbPWM6E3n0M31BMIEztrJ0fZu 5LMAoS7Dj9eoNJAhq7VU9VqKx1qqNDvkXy0exlfFzpcNwnSaSeZKJhjnpflBCs3n7bn1PQkhEMBO CRUBxC0QZgy34EuWKtCsL32iiBOCUeqn9ggpAqre905KGuZ8//y7I+S5HDmUHaGMXmHXpb6HzUHm VpKOkisHWgmOkSoWlrm1PGz+9CsBPO7y5n7xpNeHtXylBI6qpbNTSCj+hRIp9MvP8UJP56kKOYCH /UEKnSG1CHIgmgyMDOwQ71e9DM9B0dsh73rdIh/aYWxUr66KoVp7KXuDpVEt5yh+OW8+ytTEdjxU rHIaD41l/ZmkYaMCHWl94XGQ3CTDzCA/vytymr0NJxvL0MQH8yt3hKTpEtmcHewQ0CnkLBBmuxnm AUH46geM44EDEwzh6ToNwgJhsee7hdDuoBRLj9XBMZBrCGWfW8ue4DOudecMEqUj80PhH3tC2Tf3 UdER8t0KAnl/B49BLrXEiZaDxgSE5U4RRFwvBAPjr/anGhcv1DjOUKIRuEKAsMLpmRDpK7U1rgie B3W6K02NlgTJdN2mQ0vXu6z602qpjLa/eva23Uy16le/nAntDmroTB7FVHGlFOQuy4634pPsobt4 SCEViHnIHaHuNXwIJ7LG7FmO8elPBkA5ttHdLw76vjsCR40jUYf14UgbT3JJOEUEWKlxS+d8E8nq FsLMYEqO/nTPZ6nGHet9KICVjkw+4NNRTCcC+4QqQQhmp3BxNKhAWuPCAVPwFF9idFkGLTo3dd3Y yQrEsUe1mCaVEnGta0lCGXVZOjjVuEpMnsK1FYhkjIoLV8r7U7imWPb2hmY3pcsVAHQniXWnjVF6 gVDvfYkkvPyefNWRHQ6KWi/LkCmnofH+b9zJ8Hoo/4tzb5cEw/8fbJ7zGU7++gTn/1oK5zI4JX8m wj9JZNWaI8sLHQAAAABJRU5ErkJggk== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0015_image196.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhrwDAAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACt AL8AhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AAEEGEiwoMGDCBMqXMiwocOHECNKnChw osWLGDNq3MhxIICPIEOKHEmypMmTKFOqXMmypUuXBF/KnEmzps2bNmPi3Mmzp8+fIXUCHUq0qFGQ Qo8qXcoUpsemUKNKRfp0qtWrRJNi3cq1ptauYMOm/Iqyo9mzaBkOJXsygNi3Zd2ulcuSLty7Qe36 rLpSL967fPf6Lfu3cMWsg9sa/hu4Z2PCiwEnxvlYcWS4lSlPLrn58tXOXkGLFO05KmmZmTmXfnv6 ZWqSrVcrjd3y9Ujasovi7ht7d26gtmcGp/q763DXrY8X1+07bu3my3cqf049+mfoJpVPty54bnXu Urfz//4OHqp4ldqxl0etHnby9uvJO34f3zz80fTrN72fV75+o/wRV1eA/2Xn3YAFLkXgYQgmeNSC 6Tn4IIERSsgccPlZeGB3DWqI4YcdesjhiOgt6OF5zoUoIk8otpXhiixS+CKMmoE4Ho0x2lgijjn+ VCGPlOk4loknCpkikDfJ6B+SqBnpIpNJOpkdkRq2yNmMUCLnI5ZZLpkTl13eOJ+XYQ65ZW1lCheg dmmyd2ZdbWpJ4lgkYWPnnXjmqeeefPbp55+ABiqonwPd2WNfdQ6q6KKMNupon4XaeSh6ieLZyp6X 6plpnptaiumnmoLKqaiehmqqnYVeOimdIz3q6quwxv+KTQCGSpdcpbLmquuud9Iqqa1otsrrsMS6 6is2q0Imkp2d3tkss6T++Sw20/I57bXRQnuqp2kxiKiwxLZSrbSCCFKsrGh5Sym4w17BgiDiUhuv uJfSK28rggxkrr304jvvv/UCfO+uyVq2bLH5shAACww3rLDDDS9MUMQQM7xwxRdDnDHDV+hasIHs ajvqts7e6W65KKes8spXCNLyyuW+C/PMKgfQMbXZ4hzqx6qFvKsgLDjayhXV+uuoux4DC6fPuro7 rp/4Et1s1I+ycLOsPMOGK69IC32F1M66/PSfXyddo5ghiVzqyGzj6XTbJWuKr8t0m0uy2ndafbfO cGf/jd/WPwf9ar/xvto11krvyHSub5/LqN65+t3f4o/267Lgji/qNLxjByq5gAevLXrceCYsMdF8 j4736qmTvrbFD1/NOraff/TYridHjXnmgzotLuSP1q4uSIGbzELnvOMJ/OGOCn9705gzX3jy2KDs 9tXAN5+4mcJiu3em+Rpfr8utz57ztJe3nPfVZVsbrfOD4X68nUDTvzDyss49NPuYZ98o/IATlL3y VD87fa1eVwgA/mTlsq9hj3/OwteiAEg5QDkQXsbLoAHnl7youYt9DxTX1zjmwBKasIQUFIndqFU3 lb0MZQkkyNdQdqzGFdB1ODSfqYYGr8Mt73gxnNgH/61GxCHGMIUhwSC1KsbEiBWEhBy8YeN4x8Oo Zap92LAavmDHQWmxAIkgWSG/BGYvoF2sXOKSYhQVSL3qXXB9GRwf6gYFxo886mXTO9zhBki9fpns ga+qIwB0yLZnhW+DUzvfoBa4PNnBDW+ClN+dbthGsgHSVZHUVb7idcDK8RBeKJve41A3tN39b3tH SpsmM7Ywwz1MYgxbYJ5iGDFHMiqTqiufLsvVsljq0nvyitnCElYvRVJrmHRL5PeQhconVRBWlHRV Ai81zTvaEpPNnFIAGddFaWrRl47yXyCzeaVtyop5rgKaxa7ZO3Zq72yKOxgwczmtKRJybUMbSDfv Cf/HR/4yT7jMXwkZNrh48VKJR7PZG7EJT+6FjoE2s5rNZMkpoH3wghRVHscaNs6GppJ40NPgHZso zUsGj5zuAdw8c3hIbNjTn5sqpkxzqEvlQRBQtEPpbeIHPfCZUmj+yqiesCjOCer0b89klBov1cCM mjF2g2qg+uz0w44m6TTPw5QotbopPQbtclpU1FMl+q5AbfFhVzSp/vyExkzBjyEBc1kAOEc4el2u rS3dnLmAtkJ6TjNTrQgrPd1oUqQJc6517Zc+0Sg8k1jKXQZpohNPB05KblJR4KRWNQWFRZf2711B 1GcTI3uFxpbEUvtTmELRGEpePkxmXg3YuxaYz5j/ZtaLff3h3EaYsrmBMmF4NG0ARTjHPiVzgxqk m1LB+cGoItSlJq3e2MqFJ+FWcGyGrOHxWmEzztG0WTPULOaAycM8NdKqX7qJ/HxayotlNKaKCmxf odvPk3pUJsVDJM68C9T4tq6qDL2qekNKv5+ms7iNiqG7FOZOQVlXnsYcWb7odtt/hqpfC37WSqt3 sQW7c6UPVmWu1OkwVxJRtQ22IPvme8ujqoRXxeyk0Ez3SgQvKntCra6LU3KuaDIqsVvVXIqNet+X LPPIni0mktNYyAhDbsP/DDFIieVjzZVVszYWstkEbJNzvTS+CdQbviZatSErSsp2DBc6H6fPjpUy /8f0hTNAd4wSfuowsLVUJkxPxjGL6jmXEJtqhH9VZJfgTqELflUsS2k1FivqovdDb2gGPOLo7TO+ YjOgoMN5s7nCCs2DJLBnI6jUmS1SlGWT76fpfBIkqy6v88swfE+Vz1ei1cIsbHQ/PW3WPtkKO+st MAsvJ8vdKlRhnfMtdTW9REcT+UvAxhT5oDa0wklRatTMcqAsmsVLa6plL9u13YjrbJO1lZlXjXbY vjaQF84sdj209CRlvKgJB5aNf7JoZlNd1sstlmZBbPSvKc1CiekTlghXLSxHKL79bvq7kyxrhbML ykvOVa4Kt3XCJzbXhCAHLcE0I7YTK3I02vCrnP/Tdq+ZjFP1ZXrUqk7jB4GcRoa1VWsw2Ym1JEjt fQlb0yI8bnz9xd9AmVDcqJ3u9HAeLILHyqvDXvYiScwx/H2yq26+coCR4hqdw1RQsB4XeRPGRQxC 2aaoKvfXmb40p8PqcFXG7HYb/WUBIk3VkhZI13GS3yRXLoEx66XKpRVmicmZ0EHZu9sNt7AFG1is qgXtwyEP2ue+M/E55zuuB7vED0aa83sitgg1nDNxQrlZbP/W4itdUEtJPcFmpuNtFN/lYtVdgHwd d8vgXFT7Yr7ptSfWmuv92oOWdMtcz7zbT0+2+TEfWrwcotmdfLPnjyr161p95VI2fK9x7PiRm73/ 8oMP0RofPqbV5j22ayr70dC+JusV4eOnDsogM6rwNs87bdpjZwsvtdeaki/gFlFbxUizpU59AmLi B3zw11M/xywUlX8OE3vQJWhERYF8gn2son2O8n/NRlu+M0O7t20kVF/d136/13bktyh8hFwuWEoY 6FkSBW5qt26oFl2n5H7jVxN/hkO/Y3N2E3YViFDzhGfdVUW45mErdF65NDoaqCzkF1iON4UN43hP 9C6VhXLWw4JoBIG4J3QXyEIXlYCQ1S04EVNGVERq6Hmi5UDRQzRiQ2+Zo3uZolsWI0N0Q4PsJlqC 8IRK8XeNdkWW5lu3B2OsNUdYdDILJlqjxYh9/7iAUcE6gMJiQshUltd/UNZAUldVMgc2MyUu3YUz fngUwVY9pnR4P4Zq/SM7ydYpo2gUfVeIlWSC8+dgkAgVkvSAs9gnYbh1tmMVrsZSCgQvvmR9oMd5 Ulhzp6hIr1gUPyNarbSLfRJ566R/wPgz/iJY0sgpUlh/h9eMRNFjtSiNnbVqOigVmJgzJ7iNpjdo 6JaCuIgwCkRz9FiP9liPvXd5yYeOtqdx/rhx/xiQADmQDIZ8vzgV56JsNLOQDNmQNJOPOQiPTRGM /beNoQdY7ggt4DgUFtmR4XeOkeiRIumLeoeQI3mSLQaScXIZVLKSwOGSpdGSMOkYM8mSNRkZMil5 k1Gik4WRkzxJEz75k00ilIBBlEVplKyBlEmplGERlEy5gU+5FRcREAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0016.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
multiple LEDs on at once?
lHow could we get= LED D0 and D1 on at the same time?
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lElect= rically this is impossible.
lWe ca= n fool the human eye though, by rapidly flashing the two LEDs thousa= nds of times a second. lIt then looks as though both are on all the time.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0032.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Tristate Logic
lNormal logic use= s the convention that high voltage is 1 and low voltage is 0. lYou w= ill all recall that in addition to high an low outputs, a pin can = be TRISTATE lTristate is needed in order to drive the LED matrix.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0033.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
If we are trying to light LED D0, then clearly only pins 2 and = 3 must be active.
we wan= t 2 low and 3 high
if pin= 5 was active we would get another light on
     if pin 5 was lo= w, then d2 would be on as well
     if pin 5 was hi= gh then d5 would be on as well
Thus pins 5 and 6 must be set to be tristate
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0033_image197.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAZEAAAGPAQMAAACnM3//AAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO wwAADsMBx2+oZAAAAAZQTFRFAAAA////pdmf3QAACFlJREFUeNrt3E9v28gZB+BRuVglgBcMmksM BOEWe+jVW1+8gJDxbS/9EoEPe63hiwQQpVIVdRdwoyx68SEwv4oCBVYCGOah9y0FBsvjkstDSHh2 pjP8I4nSeDgvi3bbWDoZsp6I8/KdGepnRoiBH0O0NVuzNf+WocbEAZtOC9P34MdmtzETuEFtavA9 3BwxDDbHbcwF3PTP4OOx4XVj9vzOz7kwo+C6zWICPqfTCG5Gfloas3yarv4bUfXDfMV8975vFS+t DLFWTTXY15Xhj93LwSsEfBxcf3kGAuJIxvtX9fHUj002HmIZ5SLiVr9erUFW/ZCszgXrdK4wP0sN bmO8BGqY80+4WTzuriHpExNq0vRxF2qihIRgE/Wm4PFEuyOwib99CDbsXQvzdh9skqsjuDkL4XXb AZ/TNr2znXN3wxBHZmZKk0rNqdpIj20sN2acm4hYH5Ym+qOVG3OempuGFtskDt53g6Xxe6LxE/r0 sC8zz/JrRjz783S6ND88/loY0v89Hq8Z2mV08IIbYo7PknL9Yr7DwnMxkSdp6mFrzUSIX8++4sbv uDvxP8pnkcnCv4Xicn838p7gNTN5ial9zs3zz2kvK08h/cxgocfPTPrrbuBdrI/n9MKi9ktuzF7P rkw6mHPjiWV9Ots0pmtS+08O38ifPiKVibKIG35m5sl87Lle3VDsnlL7Lw4jDr5P4tLMM+KEHj8/ syR1N43jzmif1y1leEyS0gQZxeEL3rRekjLv4Zohh+iLvNbpEH29MG8QwqEo5l8Rcrz9dTNB+/nn wXiIfkuM0lwhw/If8UXzJfqVM9lbq0Hqoy/z3kmoFZBuaV4gw/UPDMasOcWT/to5zXw0KBqYWsni 2QvULdodz4upWDdRZYi5NC7qjgszkZti2oR1Y3qlcawNc5N2SGHS7ooZVmbouAoTGTcrxioNwpsm I4bMTLDaFOMJ/E6yaZ4iS2Jot7jGTWrGd8alMSV1Y5WZoBUTFUNnPZlJxaLTHT4TJiAmKhaEccSZ /anJHqMu9qMdLOm34aHD++0bYqDhSr99YrBz9Cme7N0z1/vaoH9wr3De13N87iz6+j6Z8r7+xLl+ mJ2uz5+Q2q6H8/mT4QtnMX/ekZjPOeJ4f8/CmvkJiznnehafpyaJcfEp/Ddinr4jmZinfM5lQc0E Yj1AI8/l64FFjK6bm70sZf69XibWAzZ5MEjqRqw7neeey9cdTAwjN/SArzv+o8eZWHfYZO+rupm9 5uvbEV8n2Ohzh8xwboj92Yj98BU/tvR3XXZ1ktWNucfX0RNh/A7jJh9PlIh1VBiKLHa9ZuiTpwaj x7wGjO8YJCjqNg98zMJdXjfGV4rr/XoNaI/3LR2411jspyTBr3Iz4/tC+C0J8v2U1222akifNxbt Dw8LE6OhkxdGmLe8D3Lz4F639j6R2H/s4WG+b5MMPRfP/mhy8+Et77fcvLxn1Wowl+311FLu9YHU YKWZyQxxlMaTmu212F0x1IjgpuM7YNO/hBvba2Few8ezyG4hNXgDN0fXGGxOPLg5bvE+rc4PvG7M hvfbxzbnltmtvpnFFHxOl9mtvhn5lbHK39JG05DdbppfIrvVPTbxCrfKbvVrQNpkt9Z/K7v1ttlt C7PNbvPs1gCb/+ns9mqb3W7NL2pW/nKrbdI2BnJsZv4SHFHrua6hRSwkstuOtnmWX/+J7BZrGb5v 04EwxBqfJXomz259Vma3hpaZPHCo/UY8LbJbpGXy7FYY86BnZwdaJs9uz8TmL7JbomOK7HaIRXa7 q29mtM9Nnj3Fto4pslv+zxfZbU/L5FkaN0V2q1W3IrslVXar1W/y7LbBSLPbRiPJbtXmJkWS7LbJ yLLbBiPNbhvGI81uG4w0u9U1q9ltQx8wc2ECbTOON7Pbxr42KBoeFtltpzN0NOZPLbuNy+xWbVaz 2/Eiu1WbxHLHm9mt2kiz2wYze31eZbd7DvGwjsmz26O17FZt6JPeIrvtLrLbBiPNbtVGnt02vE8q y24bajBvsdcHLcyshfG211V32xhl14NyyyEGmz48r6I2aWPANaCohemkcHOUwc1JDDfHCdz0A7ix Q3i/tTEf2Zz7P85uY5lpuu9WZnYvBxeF6cpM9cehWt57cKmX3Ror70M8ZXYbsfqjMGNldis36hyW yQxtY/A4gRqmznvlRt3Xd8I05G9S05DdSk10o8xu5Sayp+DxRI9GYBPfhxt1dnuLUWa3cvPh7RHY qLPbW+q2Az6nbXpnO+fuhlH8rxud7FbftDi2CGCo4ecmeN9F2qZT3XdraBqLf66/dvKd7yxJtUzU 4dezY/6Db7g7caZlfOTwz/X507SXxVpm9Mrihh9b92B5322DybPbC6fMbrUMtUR2e+GU2W2gZfIc SZg8ewp1zNp9tzMtU913m+XZradjiuzWr7JbLXNLdqs28uy2yRT9Eugb+X23+uZG18izW/V4KlPP btVGnt2qjfS+24Y+kGe3ajPhvbOZ3Tb1dZHdZqKvjSrnUxvHDUQOK7Jbb5ndKk2e3dob2a3SrGS3 2CKdKrtVmluyW6W5JbtVmmV2O1nNblXmtuxWaW7JblWG9OTZrfJ9qvtunTK71emdeYu9Pmhhpi2M t72uutvGmIPN8jsTAKZ/BTf2dQvzBm5Qi/G0qcHRCww2Jy7cHLcw/RbHZg9bnB943T62OdeQ3UrN 8jsT9E1Ddis1Iz+1oOa794PixfJ8VGp2L49V2e2m+c/cdys9tuV3JlQvpc19vchhtR5r35kAMV4C NcDH1mzkb/pmmd3qmygjIdgkZAoezyK7hZj7cLPIbiGmym4BZpHdAswiu4XUYAd8Ttv0znbObc3H Zv4FXTqsqxl/VwAAAAAASUVORK5CYIJ= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0033_image198.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhgAG1AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAB/ AbQAhwAAAAAAAAAAMwAAZgAAmQAAzAAA/wAzAAAzMwAzZgAzmQAzzAAz/wBmAABmMwBmZgBmmQBm zABm/wCZAACZMwCZZgCZmQCZzACZ/wDMAADMMwDMZgDMmQDMzADM/wD/AAD/MwD/ZgD/mQD/zAD/ /zMAADMAMzMAZjMAmTMAzDMA/zMzADMzMzMzZjMzmTMzzDMz/zNmADNmMzNmZjNmmTNmzDNm/zOZ ADOZMzOZZjOZmTOZzDOZ/zPMADPMMzPMZjPMmTPMzDPM/zP/ADP/MzP/ZjP/mTP/zDP//2YAAGYA M2YAZmYAmWYAzGYA/2YzAGYzM2YzZmYzmWYzzGYz/2ZmAGZmM2ZmZmZmmWZmzGZm/2aZAGaZM2aZ ZmaZmWaZzGaZ/2bMAGbMM2bMZmbMmWbMzGbM/2b/AGb/M2b/Zmb/mWb/zGb//5kAAJkAM5kAZpkA mZkAzJkA/5kzAJkzM5kzZpkzmZkzzJkz/5lmAJlmM5lmZplmmZlmzJlm/5mZAJmZM5mZZpmZmZmZ zJmZ/5nMAJnMM5nMZpnMmZnMzJnM/5n/AJn/M5n/Zpn/mZn/zJn//8wAAMwAM8wAZswAmcwAzMwA /8wzAMwzM8wzZswzmcwzzMwz/8xmAMxmM8xmZsxmmcxmzMxm/8yZAMyZM8yZZsyZmcyZzMyZ/8zM AMzMM8zMZszMmczMzMzM/8z/AMz/M8z/Zsz/mcz/zMz///8AAP8AM/8AZv8Amf8AzP8A//8zAP8z M/8zZv8zmf8zzP8z//9mAP9mM/9mZv9mmf9mzP9m//+ZAP+ZM/+ZZv+Zmf+ZzP+Z///MAP/MM//M Zv/Mmf/MzP/M////AP//M///Zv//mf//zP///wECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwEC AwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AAEIHEiwoMGDCBMqXMiwocOHECMOxEax osWLGDNq3Mixo8ePIEOKHEmypMmRElOqXMmypcuEJ2PKnEmzps2bOCm+3Mmzp8+VOYMKHUq0qNCf SJMq/UmxVUanGKFelGqRakWrTZ9qjbp1ateqX6+Gzcq1rFezYNGKVUv27NSlcOPKdWi0rt27eOvO 3ct3b96/gAML9ti3sGGmgxMrXmz0sOPHQN2mbcXiSmWnlSu3TSt5befNnjmLDk0atGlsWFGPVc2W 9WfXo0+npgq5tm2GIwUFaEX5SqsAgngzHk58MIAAyJMrX868ufPn0KNLn069uvXrAQDkBn7FMrYA LFgI/ypOvnxd7OjTq1/Pvr1z7SJ1X0HelDeL1Obz6zeZ/bZ//9nJhpFu2OgmiCBXFAjca7Ot1mBr DzLo4IQQUihhhRD299+GjwUoEmUUWUZZeAnuZ+KJJGnI4Yp9efihWLzhh+KMNFakIos4xuUiSVbJ WOOP+92Y45BI7cjRiBUJIl5Flo0H5JMoCknklDsZudF8u1HEAnhNIVeiTa1056NNYpLX25g0nWmT lFS2uVJ2mVkmZ5yV7eYdgQvO95t9dM7pZ599JkcioH8WSmigyA1q6KKHMuponII2KumjciZH6aSZ sYmQe5x26ilyPmUX46ikxkhRAHoqqJtTc5bq6qulhv8XHKy01lrqfLPaquuuusrH66+uggdsrZoa BKqbLSK13ZfzlUhZljUpeVcA5h1oV3c3FVvQscjuxS1PI4UpVZgWIXiTtHXtWZ61dWm25kPfdquj tintR6Bd1K7rpFHYvutQvPIuBbBL9rJgF2VoAsauUe7WRO9AAwdc5MMRVajkeGHKio1ll1koGroe lwbbbxtFGBtsImPVyr4nm1xavxdKRvFxM0vMUsQsibSlU/IZjI14Bp7rs1HqkrdwUQ3TNDPONvPE tEofLolgd+KJh+rQNN17XrUsEwWz0vDW3HRKT9cr0oLCUaakeN7ZBHJRRRd3NFFJz7S02GNHVLZE Al7/tOSc1IKHa8qrFYg1yn2/hlq+hGMY82T7upx4WjBLjvjiYeet1N4VxwdVd76h1l3XM71NVNzE zT1U3TLdrfnmiOWntVGMy026UF/bnfnrRcZe7eFDIayvXazH5DrvP+GN2+ONM7+Z6S17TLJGllc/ 1sohTx7ilx6ZfDzyPSm/UMEHQ3tVcImpLhTr6n/0PfhV+r5u7U8Jd7JH9P8Mran334T9ka7hn0hy p5uhOWVcacPI++DnEs49hHwc4Vjo1sa9j8RtROM5EMfuQ5GLFaV9TQkPqrQkrJEkTUkJCtPa3MWx /H1ndwx8iQPpcjnrOW40vLEMqtCHst2M6DcHWhBb/zKGqtC1DVfiCRO1nnW7Gq7mQHVqH4EMtKq2 tYyIV8jgkn5zH2qhsEuk+w0MY9iSGTakPBKUU7OwwsHfiGhnHqGgGneImvBgpkSa6U1OMpbFynCM dOiyYng+Mp846ZCD8llcB/O1JeC98F/iI+O2IokQ8pAohF3ioEWgBRzBLSmCn/xORWQFsk8KMZQ1 4SJUPmkgqqCLQBn7SGWc1C8UelCRiuSZJjc5RkmqxIzLGw4V++hHBA1yk8Fp0giFqJFhdqeIVDPf z+6jteLFhEQIkk8WETRCJt0HiM+y35WAlkXBRTN0XbIP1XjjwgX6UiLAHJ/znHjDOibIOfdkWWb+ Jv+ncWFEM1k85DZ/5qyNqZFJVLHhRe7knODUrjeaQWHHUtPKgCInm0H0Wz8h6iSquPOdEImnQogz Pd0wh1WODNfhElUuFyINj8zBYwVL8jYscc+a7uslSENKyYOkbl9YSs5VdmmSr22JpU2ZKcOcZFJB MUmpI4FZU7tpuJN8dKcNESlMhgOiLiUnctKk6dCOaqmk/qVhQcWaFU+Crt8oR5PTK8lVsboQrVaS nvO0XtyUxKVRVvB/qBlVgbwCRAWddGNY403kBCtOvHImaUf1KMsEqNgDRs6bJFwOB1FJ2ZVBBaw6 pWtWfVKcy4AuUaNblUXm5NDMjIh1lyGrcnxDVcT/9nNQxuzYSfY0taOCTolVCY9p/UjM2i4uiM0J 4riES03irtBJcxVtQnpqkJ8CJzjc9GwiN6nYTqoGYW6cyg5XtqpkHtOv7FzVKsdjR7Y2C7uXCc6W WAbLg2rJN0Fr6YFWZkfs1pZkSrSiiAobXekehLoF4SpwflhVxAKPuVbLY77iOlR0+qyAVimkHQXn FE4mLCPz2dgpD9ikixRwvsJlVYlyJ2KZkvCv4NnSM6XmM+8U2MDbIm1es+caORVog4UEz+3s5MMl 1pFnYQ0RiVAlOK8AbUE+1JIWe/QVDG5shZVCJ2tWNcI8/sxJnA1hpmR82cUhSVq6VPIjs4pgSbZ5 /yKKma+CUvgsLSvwjuplJ3+h2sE1bgSgXczVgs97tiwdc2Wj2wiagXOZHN4nVdSjIH6mV8RvrozI 47kxjiH2ZvgMRoX6497sMqLJ+8TJmCzOiEuZBObebtOPJfGsKqvSRLP+WKIanKVHUm0RnyHs1HKC bmg3feBOD2dw5UrpHpOclxKWq9Y4walch03sSfZEe9h27PkAeTjLUY969PM2jzvIQ9YA9sMl20rl ZCLGf1WbzdfWD/SEgjphQvsm0k4RvN7NELtWV96rzknABwNCnPCaP/vmt0L8nWB5KxsnwjPavW2S 75EsTeELN3bMNDiuLyn0Y8r+eGgovON6mgaw0f8zeao/fnGMb0rjIdFmnjITlHkHpd6MKTi++Txt d7u82PGO+RJDvMOJ03Tg2eLatXiub5//3FhBB0kBL8pFQrvt4f5jtmJ0TnGmWzzhT88xuLStoGme anF/HbfpRH4aXDYv5SU/N48ftG6TY87pYYdY1D+CrssIDuliLR+68cL1mlT8bGCHM5SGwriXkKSj KUTQ4IU+LaXX5eA9H23DFy+UxhPM4Qcjqr2J53XE410gb099ttlOdsfSpxWOV9zCxHWV0LHelSGf +0VWffvsoVz1ZF+5x1pOEM4zPl+xjyNyPkdVZN9krUQZtTAnf5LDh4T4ijc+TuiDjZcENJvf/z7/ o1PYSSSHGNHgT3/41Z9+367//eyHv/y9JP/427/++I9/k/LP//vn1v/9Z1GQ9G/at32dAgCgk4AK mGhABFC7sSCzBE0LOIEUGE3mVIEYmIEKiFoa2IEe+IEk8oEiuIAXOIIUSDEAo3sD8nilFxPWc4BR VULPgkgXlUrQNxTSxxi/NxSY13T9JiTLtk0HMoRCOIRT4yVESISjU4RJaIRGSH0ZkXwgEV6LJBU3 GBM2t2xQaBSFRxPWBxIoCIQCdylzoiiBYoaUonUo8XkvUi6KYxI52HmWxy8tCIYDuHlgInrdU24m Rn2odBJSuC5Yl4db+EFG54V1mFPwtnm9dyrU/5dFPdKFuzdpDhKITuRZfWYtiEaJN5SFjdgl6WZ3 bLeDrSc5wndDYUiAhEhT+xUj5sIjelgSlsgRcHRimFEnhZgRWQhxakhwhzgTX6iIP6iKqQR41ENe kGhVuVgRs6hofyM48jWD52KMSjOHRdGDX7eIxQdxsYgXEScTzbgRQoQx+0RzVyd4w9MuiYg/d7iN JVcgnpVk4mZ3p9KKpWgRsSc5/MRO32FqHqd23aaCURFuAgl3kAN8NlR3eZWKeBgTGtZen9ZIMgaI bAgSbSRcCbJCOLGLWbeMQiGJMhGM7KiN2eeQvkaNRAEt2LgR4WhBb8hWKNk61ug169gRDOmOMv/R L994MOKkNmpmEi25GBy5ihJHetnSjoo3j6s1NCrJh/eoFpeWRTbyOV43G5b4iQYZGp5YkCSXlat3 PbeDldtTkDdZkiehkzRYkzxiXiH0OYPIkhUpiOhYlOp4lCSJeo8HG20TV7oVPPb1HVRZH7PyXYR5 QKgRlFsXk8YzIMwUGCDpkGopjkgpEFTWFlJhUrOkYm05lnATULb3SSKiQ4kSYxJZmgznab/TESjk Sr+ISS3la2EilT+2kljYmtcUmRpRlpTJJODRm43km705mn7ElKtUUKKoaLPyJSppUV9VmIWpG/lY kAj5FOdHGtzhLtsFIepVhcc1nAZzXZwYd2X/9o6U84+iqJsAsFi2gpnJiJaB2ZmJdmRqZlm/iJiA kTGlmVI0yE5W54xHlWK2hyqxeVwxZmc58ZjVh5uqNpmoGXONtZeM45EhYZiOyJltpxH2mRd8pVgG E2B3Vo+eNCZMxI8eml+fpGt6hIO2eZYKqkAMWhPuGWe09JYYGpeKoUqOFiPOJ2WGs0LGJV6W1koB Vm55pFu0CYcrahIiaZMvmm0gRpxOGli54pTatpxeeZg2KpbYJiLB6Zu05VESpBoi8jIGQzUSOTr7 M03C9R1UY57k2RRhKZ0GRZZNOhMP2Y0doUS/2Z+yRJo/GhIZio5BpRzsxT3a9ZKA2Wt9tUi0/wZY +2WIRukvw9iQWEheipkk+MlgHwJE2BUTgVoXr/gss9VBeAoSXxNU+zKUoJqkJXGkwlhXYug/pdo9 DqikEvqpRJOqb3UVvUhIQzNVzNSi0cKqJLGkHIGecpqoeSUrAtp6FTqdzPh5WnqPSiIV/2mFswpA wiOqgpJmu6WCcnecZaGQV9puk4qTqZStqtlIULiTFNkSJMVMddZrhjqE9Ildj7p74zGoZUVo5IU+ /6pYVFo6xGpCwspLd9mgu7VPl5oVOYSgNoJb75ozXKUnRAiefLV7p2Ugo7NkVlFFp6VGIdZrftRJ v7VkBauLKRsSrjqS52qWRUVO6hoVG8RcSv/6ajQahTY6GAGlSSADaX7FTbhyQPkysqu1P7/amIjF TbZUoThXm5HqMHXKdlaUphaDUdlUmZ1hpQh5lckaIcA1pFUEkZuEJQ5lLtuaZAX0pTqUUGoKZf2y RUo7inEqrqulVCxXpznpLDNbMo2lUm45sZGRPlxyJi2ka6qWXl2FS1dIqo0km/+UIJphPllitMMa tWCTsDB6kkk1sJHmpRYEflNpoSKjs/CaGHoUTkkycFliIFdDTHB0JAPnLiY7Syi7kStrqgdrI3r7 bDIiQO4Zm1/YLGY6ccL7mTMKpwZaLpDoFLhKb3VEVBxpP6QypRbUi9Q7KlMqoSaWu7K0u6f/AknQ IbwyJn/NMktV+z+N20NaNqYRMoSja1DGhFrx959ZFJ12e6VzamIB2Rrf9hQE6b9fC6fQeiHkipCU xLwiVIIK+J9Vw7dQ4ZMWdF4ZkzCVo0n7B2QnaE6C8LzBo4s5y2696pje6xHGKpmD+2w8p0KBi0u0 x7J51ErdY2dcW1mKlkI6sbOp07ByNZM8CL5rZjZ2CsFc5LkaUSaSR0iGCpohfBEeTHhNvLDci7uY qzuDS7XEiWppZxYUOhavGL9fUz1ey8UBVMb5u5X525UFXK5RUbdvur/kmcA0EaPsRqy29EVAqcMl w1z4SbbnmC4jrDAl3BEnnJsUa6e7kVEm/2GYeBwuGtYsggs18TF0HTpNU7y6lZeOdGiXklw6zBXI TypcXmKrfRbJQix1laacg5wkUbzIoKyhq/xnQCzHb6ysWWmmmQGwhWPLT5nDpys5e7KolrvGyVbL kxbAxkwh4ZrMcHx7tEyUguGueXy6MdehaPPKudHKsYbNdwGxJVHIC5rCxZigloWb3Fyj1DyFJSIt LTvJmUyXmyypp5yu7cquhqOW0iyLepxz2gyLl+w2sawR4OyiVzx3MWK1byhCfyN6LrNgfyvGWXqM VYFAX4kWaEyealzRb0yKWnqKj/PMDhljzqZSvuEnsfabfwoSgbqo+aUg/9xgRMPDH/TSAv89y4ec kx2a0d+rYubsaJ66zyQrRL0Zsf3cTLF7OkB7bAPNsjYtziy6mX+7h054iD3JQe2Mjy4x1VotIgQS YoO0Yeyl1WI91lCUKGR91mh9sUKW1mzd1mftW24d10b4h61z03kKSlMZlb/rN3sqgyWzNvtSuXyG JmUEAIZ52M5JUBGVYg7WRs6J2JCtGhsa2ZT92JZNYlFW2Zp92Zxd2WvT2aC92V3szHb91EfmWa7K J9mlmvjVUZtlqD7SWIW9HWPFTEftyeVTgMQB0jk0YI8tHxgDoZINuepsarPapoGtmXyS2YVJvLwx 21HVcVUBxHEYFDKt29t303z1VhCG0qj/5S7bysJRVdKDyNU8tI/8CmF+mihWwxwhpbByU9RRQ9PY nSKcElg6lCvVq00+7Yi5ssVuwcgPvVDJCRWCLaV6AiukhKViN1qOc5lpE9VrfNFszKuhyMwYXuHk mc4TfSTiFLyg05roZ8TBhbjCE4xWcWD7NsnFadXm+McxTd/1LRIcfpac67DV7Jsz28UHbsoXpzN5 ImQd5qFuc91nM+OKUeNFBcEn/WjERcpXHa0N3m/wfazBjWoRJt/8O5dIHhhKnpBQyjw79Ea25yCl OdhfoeLuhm1bZCMFZEzEzMoZXhXIrOF2Hue9XK5K3qqk2bf8O19J/HizROKEAXVrfjbm/+cdHis0 XN7lf7HnNGWpLvjfEo4/t2roDr6pqJG1+P3P1S1wji4YkC7FSBrBxD2hdK3PU15XVS5MWj6Ffh7q NkHNn4jQ/SNQ4p2VWgfRq75wCjutH0fha6zTwD7A+bvGo77Isb5tQHbSl97r09Xq/Nzosm4XyT6h bWrkP5ZEcvXsBPHjAPfOqyXj1X7tpoq+54yppKKkzuXjKw56gGwVt13tOPHlFJK+9dQkKIRO4lZE 2fk4ap7pxU6Pa6dRGoNq3kZhbsQqvbUk+4S3xj7nGl0V5q7Em1kfsG4wWMZ38Rlx61vo0L4p0v5p 5ZSZuWleP7S8zcRXyeguOyMmedbS9P9O46Xtkgt140t7ffjFNoSeMQvDtar+7e9OUmuLRH78rLWr 8iYGjVejMWyKGWDmYjNPEhVvYtv0nsKzNug2dd9Hq5ArwR/fEQFP5ZY0jr427xfZNkqLEUNbR0ll J1/i8uQX0AVI6wCYTZHSs3lthC95MTyjMlOx72C8zpyui+XEKb8e8bFhIAwrsvSzQ2B9S4izJ9nu J2kqKyNrdQMv8XkeGuncppJCVrIC3tTU9adDYgeEwY82WxqUhIJyv5hOQzoIgc0hudyTgCTWg1tk H8KSnFgzNeik9FMv9jUfR76NWOmUTFEec0QIxmwjQoziJbM09mfk6ou0HEYkrKpFqiP/rarDT/XF TxJ0HFhcmIx1lLxwKiPm332xX/06CFS7Gr82rqhIJTrfnxP2jiH4zvkyAvTgH/IAAUDgwIHYsLUy mNAgQoULGzp8yLChRIUIBV1RGEBjAEEJBbGg+FBkRWwfGV7ZqBHjwZUjXSYMCXGiyJgHad6MiHNm Tp4TCf4EGhTAS6INr6xsBbLoUqYulWK7wqLpw6ABhF6dmlXrwQASW2mUmjDq1oddS6bciBAlWbZt 3b51eVUuQbhQQQoSFKAu21Zf8SZtqbXqXKB74SYFyUIxiwCLr+SluFgxYMUXFz9UjG0jY7AgzYpV PJZFVBaWJxtGnboo4bl1Py7+rHpp/9LOo9kOZl1Q9tZWKDH2jdq3Vd7A2EYPD4BSkPCuiCceX/jZ ucLJxEv31bx87W7uqnNjlUmy50jpOsXvRH/eYOz0MGni/j7evfzw89N/7Xv6Y0nlZRkfT+43pSB7 7jrNDvroCq+Mk+yrzIzryK/26qPQJvosnBBD9ezbMLzvhEotqZq6w2zErODLjcTZ+rvotf+gKxGy pGDS66yRSHuxNJEA7Cg2swhUMci2PgwqxOaEQzJJJZdksskk2bsNKKs+FNIl2iziyKCLmnPJLJT8 wou4vDpyCS+OTLQNMo7CrCy5Kt8UjMifQoStTsUau9POHPHcU08+8YSSLBRZg1Ok5f+My9KjsMo8 6aKjFHy0OJoWHQkvLfF6FNOjTCy004TknPPCmka1CSFTS0X1VFVTZXXVU5+qkNRPpSQyVvM4xLVC qBJVNENOM+TK16ZkFVbUW201VlRQ6fK02akGJcxZhQ5taD8V8ZM2W8OW1U1bbxuCtrVvH8pLyBrH RfdEbodK99tw5Wq312thjbdekdbFN19995VzyviKne1YDTm0VmBi1fvKSoMXThbgDgdG1mF+J6a4 4nz9TTErR8ls8VeyCu4OW3tHBtdik09G+V3whl3TNo4eRK3cIM8lueaUb8a5YowJnWrM3qRKjjHV QOZO5JpJzjlppbndOVqIIf4KJZD/oj7t4YOnpfTpq3VN2GqGHc5V66+9briipc9Gm7CmxW1qP9FW si3mrHcz+mh708Y774HWhrdnvX62TseYae6OcLvj1TtxtPlemanhFuooTDLlDlLEw0dWPPOkGQcR 2GHHJnjusLfWsGvQIyYbbNRHP11DzV9PmfMimRL8IFhNSo1oug2/HF3Yf7dY9sKWQumz/6KDeS+Z STS993aBh55f4X9yUrgxxxozy8l+rL574Yqf2nvxl8yrsfHPRz999ddfP3r38Z2eoOXmH65++iMc zbaoxjTuqOvut18AAUi/4v1lgAJE4AEBGJXkKDCBD3RgBCE4QQlWkIIXHOD7NAgq+bR0sIPsaorU EnI8rvDqLdi5lsec16wNtvBkWekNRQJzkRXWEHEuxOHEbLhDHhIlhz/UV9l40zrSFZGIRxTi6pQo trIB0YncmkoMDeK/yI1GUj3EIpyeuMVaNUUjVVQQQjjSnyyWUYtcRKPTHPcjPOElMY0xYxyFlEY6 gmdrOjKVXwI0liUaMYlMVB0gUzdI1v3Rjz6pYyKZ5UWLKEhNnpmcHCUpG0VWEoRMURBLrMif2k3S k6ixpCI/Ocp6hTKRfxzJIQnZRyQGUpWFdGUr1WPKOpLSlr6jZRpvuUtt5VKXvASmp3yJRlYaUpaw XKUgkbnMYsbSmBEJCAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0018.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Timers
lA timer is basically a counter operated by <= span style=3D'position:absolute;top:35.75%;left:13.1%;width:92.5%;height:6.75%'= >the processor clock. It can count down a fixed number of clock cycles. lAlmost all micro controllers have built in timers.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0019.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
PIC timers
l3 timers
1.Watchdog timer used to wake from = sleep
2.8 bit TIMER0
3.16 bit Timer 1
l
1.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0020.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
timer0
lThis is in reg= ister 1, it counts instruction cycles
lIts rate of co= unting is controlled by the bottom= 3 bits of the option register, which is register 81
lOption value   count rate
ln        = ;             1/2n+1
<= span style=3D'font-size:87%'>6        = ;          1/128
the maximum o= ption value is 7
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0026.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
prescaler logic
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0026_image199.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAvgAAAGHCAIAAABK3LE9AAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsQBlSsOGwAAckVJREFUeF7tvXvsVtWV/9/5Zf7BWwpCqiKioVHMaNEKCd64dEQxSLWijRiL tCog8RJBVISMYwN4Qw1gFFEBgREzQademOJlAlpQpkqBwRmFhnG8oNPgpUHRZP7x96rr293Tc57L ec6zz/19/vjkfM6zz9prv/a5rLP22mv/zTfffPMdbSIgAiIgAiIgAiJQRQL/XxUbpTaJgAiIgAiI gAiIwJ8IyNDRdSACIiACIiACIlBZAjJ0Ktu1apgIiIAIiIAIiIAMHV0DIiACIiACIiAClSUgQ6ey XauGiYAIiIAIiIAIyNDRNSACIiACIiACIlBZAjJ0Ktu1apgIiIAIiIAIiIAMHV0DIiACIiACIiAC lSUgQ6eyXauGiYAIiIAIiIAIyNDRNSACIiACIiACIlBZAjJ0Ktu1apgIiIAIiIAIiIAMHV0DIiAC IiACIiAClSXwN9ks6vnVV189++yzO3bsAGT//v3HjRt36KGHcnDVqlWjR48+6qijgoCjx995551X X331lG83SoakBSUsWbJk2LBhAwcOdAKffPLJww8/fPjw4ezs27cv2pOTJk2qbPeqYSIgAiIgAiJQ bwJZeHTef//9s846a+HChT2/3ZYvXz527FgOfv3115MnT3733XdDXRA6jpXzi1/8AhvFrJxPP/3U ScNmevHFF/n7yiuvmBAE7t69OyhwzZo1O3fu5MiHH3743rcbClDM9tnqfQGo9SIgAiIgAiJQaQJ4 dNLe8N9MmTJl//79VhE7p5566q233vrJJ5+AdsOGDSEFgsfffvttCt9zzz2uTEgaxx9++GHkYLKY d+r5558PCqQ8BYJH+JeDabda8kVABERABERABHInkLpHB3/MU089df311x9wwAFmMbKzdOnSCy+8 sK0Bab4cSt54441WOCqNg4w9YQytW7eurUAVEAEREAEREAERqBWB1A0dG0gKReEQQ2PjUC02xpuw cl5//fWpU6e6Yg2l8evIkSO3bt1aq55TY0VABERABERABNoSSN3QMQ2cO6etQq4AYTTm9XnwwQdD Z0WlEfqzd+/e+MJVUgREQAREQAREoA4EUjd0DjvsMDgSehykSUDxli1bCNZpgZhIGkasiOCZMWOG izU+6KCDotI4gqfnuOOOK0iH7dq1i5lff/Pt9uMf/5gg6IIoJjVEQAREQAREoG4EUjd0jj/+eJi+ 9tprQbJE7QwePLg1azNcmBZO2PKIESPMVBoyZAh/Q+E4/LR48eKzzz6bnwjWIX7ZSWYiOnUdcsgh mfUrZg2a/+Y3v7Ean3vuudNPP122Tmb8VZEIiIAIiIAIBAmkbugwzLR69erx48evXbsWiwRfDvls GJbi4IEHHogqH3/8MSHGbot2z6xZszBfpk2bhtWCNCZVcTr5ckwazp5LLrmEWV2YRJw7ceJEPEDU xU/ItGGv0047LbNev+uuu0J1oeeiRYsyU0AViYAIiIAIiIAI/IVANvO+MGuC0G2+t00jD20cjE47 NyeNmyWOrYPp405k8nlw7jr/up8oFp2+3mJ6ua4MERABERCBMhLI5l2mWspIIKPMyNw2+GNs+IkZ WC6aGL9L6I4iYzJHOG47brOSwYNIQ2ZQWrAwscnU0rt372jkMmdRsmF8NFE1XaaKxnvETLFQoy69 9NJ/+qd/KuOzQzqLgAiIQPEJdP/oLn4bpWFiAt2+1xNXXNUTV6xYQQx1cArYd7/73Yceeojxtao2 We0SAREQgXwJyNDJl3/Ba089Rqfg7feu3sUXX/yjH/0I48Yks3Puueeef/753iuSQBEQAREQAREQ gbYE5NFpiyhJAVbgYmrY/fffv3HjRmZdJRGhc0RABERABOIRkEcnHqealpKhk2LH695LEa5Ei4AI iMCfCehhq2uhBYG0DB1ih5ctW+bWqGrdB8wVDxUgFY1NF/e1kZ/w97//vQuUYf45uQetio5U7Ugf 3Xsd4VJhERABEUhGQA/bZNxqclZaMTr33XefpQqMs5EXh7Eelh9325dffhnnxPhl1q9f7wqTX+e8 885jLS07YjO5yO4TX5pKioAIiIAIiIAIlINAGnPi33zzTRLYxJcMKVLjNCxPghzS3ljWHBLkYAlZ MbLjjBs3joP8dZlyrLBxZ8cl16G8ZUxmh4MmzWXl4SBiOUL+nvg6xymJzDjFVEYEREAERKAbAnrY dkOv8uemMnRFLuP+/ftPmjSJiw/3ycqVK0NGHwWCaWzwOmLojBkzJlSMhDfTp0/fvn075c0YYp9F FZi8jbsIS6Vv376YL6RC5i8JdSjMTz//+c8pPHfu3EGDBjGv23RgIXRbhgJRrAD6+eefOw2t0quv vpqRLL+TwOVNLYexLy1FQARKTkAP25J3YLrq+zd0sE5Y2wGnzimnnILupPXbsWNHqBEjR44MGTqs 4XDyySdbMZamMoODSBrGmHC0uDyBF1100dChQ7FyOI5vxoTMnz8fgf/7v/8bPIhxM3v2bIwhzmVY itWmCBhCIJFDpLrBDAoZOpRZ8+3mkbfuPY8wJUoEREAEmhGo28M2FNjK29ZeuC02Xs1ff/11KBNv w/KUXLVqVfAnlqkeOHBgeS8//4YOFgaGSNA6aUuHa5QRKLf8OB4Xi2I2Rw5GiZOAOcKyWQgfO3Ys CYhZ75NMxOYKihZ2Z+GtwXI65phjMG7w/dBhQZ+TFWPNLJYO7TItcqilZbn30JMru0ePHm17SgVE QAREoIAEyvKw9YUu+NL87LPPWNaat2HwXRmtiHflHXfcEWeWDxN0WFTAvZSJZ2VtbOe88NWELOX4 N3SY38TK5M7Q4V/ghpqETyXO0FXUdjEfD+YIL+Znn30W64QOJuYGA8iic6I9bR4m9CHkmQI2sIVf p0+fPlg/rtfN0OnIPmvbT2W599CTZeGffvrpI488sm2jVEAEREAEikagLA9bX9xC8R7mXwhGgPDi s1UjCergr70HKeCGU0IFgoqZoUPwq3s/MpaCJ8Jer8EFmoLrKXGcYRarrmib/1lXtia5244++uhr I1vDdaaiaHDABGdLUYA4Gwa5MHc4jplCCA5GzxFHHPHP//zPJ554YrAwHYAjh+5/4403OMX56zBx 2LBPCfdhqleo0jhuvaJ1oRd9brnlln79+kWBeBEuISIgAiIgAukRYJgCjw6vNquCL39exLxA2bBR MEEmTJjAcdwENiYVLdBaN/wCVoBXKjaQW6QS0weBvG3xSnCc6vAb4d1Ir6UJJXsPt8amQxXcXPEl U77hrCszSN30KAxMk2xrodsMLKrDw0YZmznFT1avzdVCAnO13EGnEtdEcNYVxymDnPg6xymJPnGK 5V7G9Ny6dSt+nUWLFnHV5q6SFBABERCB+ATK8rCN36LWJaMvTd6hBoHwDHZsMjKvRd6DNgfZTmGn YYFgdfbmtbckG54Ce5k64W6GspWxqu0gL1zvb9Luofn36OCtgYsXmw7/CmbN8uXLcdOxMbQEUEKu fvzjH8MX45GD2K0Ym5dddhkeM7qWCB4rzFkMmSGBEZmTTjqprRnI0BWWb9tiFS4AJZatwNF13XXX Ebtd4ZaqaSIgAiJQVQK8CjFlGHXC78I0ZEY8aKmNopAml52GBaI0CM2xzHb8RETs5s2bmxE77LDD +IlxEvw9U6dOJTSlcGy7t5WiEjA4OsqjgyUYzHkTFcivLoNO8FfMoOiJocKWPie0USZ4ohmwtc2j E/wYwp2DUwfXDg6eNK4NyRQBERAB7wTk0bFBDAPr8snhXDGPDgedm6dZAdcp9kJ0Ceo47nw25g0K eXSsgCWo42+zrHjeOz2+QP8eHZqKLYkViY8kplmH36V11I4ZoVFpeHeiJ4YKN5wUR5ngiZiiNhE9 psIVLsbcq2uuuWbOnDnM9i+iYV5h9GqaCIiACCQiwAcqgxgXXnghZxPDyswbLBI+5kmYQj65kMi2 BaIqDBgwgIP4h0I/MeGLI7iOKEAELf6IiRMnEglEWplE7UjrpFQMHZRlCYiyRLbSSXQPg19pMS6h 3LPPPvuDDz544IEHbrrpJlIvlLAFUlkEREAEqkxgz549DBWxEShCslya+tOf/pS/HMetgmuAj3l+ YmLyvn37DARrK2EStSgQ5PXxxx87+QsWLHAyKWPDWCbc/mXOF29SKm2bzieXLvE/vTyXZhSz0rLM eGymJybObbfdhgNTM8+LeYFJKxEQASNQloetr/6ivUFRxMXOnDnTxj0wOPDofPTRR+wztMK0cPwu zFBmGjJ2CcHC5FhpWMAJtOnlQfkMgeHmt+ERchVarhZMH9xF+P5xEzD9at68eRwhmoeZPX7XGOge mgyd7hk2lVCWe6+1ngxgXX755S+88AJunhRhSbQIiIAIJCVQlodt0vaFz3MTvPmBeINoCAfOGBfF 4TLfcJC5Oxak0bBA0NZx+1H5FuaM3RPMo8NwFf86+b5a6kWODB0vGBsLKcu911bPbdu2sXIZmRiu uOIKJVBO8YqRaBEQgUQE2j7EEknVSRUhkFaMTkXwqBnfEmDmOaNXmnmuy0EEREAERKB0BGTolK7L 8lGY1SEWLlzIiCyB/Th48lFCtYqACIiACHglQNa0n/zkJ3fddVeF551o6MrrJfPXwsriTe1ITybT nXPOOY8//rjlFNcmAiIgArkT6Oghlru2xVFg165dhBKTg3f37t1/+7d/y9dsJYMT5NEpziVXDk00 87wc/SQtRUAERKAlgU2bNjEni8Rps2fPfvDBB3v27EkOvEqmxZeho1uhYwIMY1k2yKreFR0T0Qki IAIiUCoCTKc944wzNm7caL55HDl33303+5UMTtDQVYrXZlm8qYn11MzzFK8eiRYBEYhNIPFDLHYN 1SlILM5jjz3G07thgjQLTqhYPhEZOilevmW597rRUzPPU7yAJFoERCAegW4eYvFqqEgprByWbaYx JIPFN9+wVe6pzqhWNZqtoatq9GNurXAzz0lOZeueaBMBERABESggAeJviDcgFoeg42ZWDmrbUx2X T2WWAJJHJ8WrsSwfGa31JBxn586dhxxyyKhRo5qte8pXAoYOaTErGbGf4iUi0SIgAj4IlOVh66Ot CWV06qeJ4/tJqErmp8mjkznyUlXIsiYsocKacJg7Y8eOtYTf0c3sG1k5pepbKSsCIlAXAkTesCgV 61XFH43ieY7j55hjjiE8uexTseTRSfFCL8tHRjM9bWm3N9980xakvejbrdlqbWVpbIr9LdEiIAI5 EdDzpwX4Bx54gHEovloZk0rQPzbphPlZp59+eoLTi3CKDJ0UeyG0wGyKNfkQ/c0334TE4MUZMWLE /v37bcW4+fPnk1SKVXAb1qYHjY9OkAwR8EPARpOjN7Uf6cWToudPwz6xy6DhT62vDXjOmDHDnbhh w4Y33nijvHliZeikeMuW5d4zgyx63a9du3bu3LmvvfaaMeLfZcuWrVmzRoZOiheNRIuADwIEZDBU Ud43U6cMyvKw7bRdXZZngsgnn3zSr1+/UFxBW1wUIDQzWntUVJcaZnO6YnRS5Fyiz6lmqh5xxBEp ApJoERCBdAjYIAVzZyozcSYdThWX2qtXr2OPPTZZ9CQnRrdkonKnLEMn9y4otAJPPfWU0++LL74o tK5STgRE4K8JrF69mgPKYK7rwguBt956q6RRyTJ0vFwA1RQyYMAAGkZIsjWPS5yFUarZVLVKBKpI wPL633LLLYw4MO+mik1Um7IgwNqfuAZPPPHEHTt2ZFGf7zpk6PgmWiF5AwcOPPXUU82p8/777xOb xoqeFWqfmiICtSDA9OCtW7eycCOzb4hOrUWb1UhPBMzE4RP3qKOO4qP33HPP9SQ4UzEydDLFXbrK li5dunz5cgLT+vfvf8899+ADL10TpLAIiIDLYE76/5KOPqgTMyYQMnFIwEPET8Y6+KpOs658kSyx nNYR+CQJxJ3Tp0+fZmmRreVtw/hLDEiqi0AJCURvSbeaY+KUKoXFUOfnD4/o9evX79mzp3X++mDf tcbFXC172i9atOjSSy8tr33jmixDp7B3bnaKeXlGeBGSXZtVkwhUnUCzW9KWp67YzPM6P39mzZrF VHCyuZL5bPv27c8991zrj9IW36WYOE888cS1115LGQaqKmDi2F2uoauqP+3UPhEQAREIECDS7oMP PiBeRzPPK3Bd4G6fN2/efffdR856y+b60ksvJWgXJg6XhFlINgGlMlaODJ0E14NOEQEREIFyE2Dl ar7+acP48eMVslPuvvxW+7179/LX1iI8+OCDO2pRyMQpdSxOs4bLo9PRJaHCIiACIlAFAjbznAlZ zDzftGlTFZpUyzYwGer5558fPHgwA1hnnXXWoEGDRo4cGZOEM3FwC+Hkq6SJYyhk6MS8JFRMBERA BKpGYMKECcw8v+GGGzTzvKRdixeHlXmmTJly2mmnYbYuXrwYqyVOW2ygisLE92Dy4uSLc1ZJy8jQ KWnHSW0REAER8EAgOPOcT3wPEiUiQwLMt/roo4/uvffeMWPG3HjjjSQBWbBgQYv6mXmHiUMBZ+Kw zkOG+uZTlQydfLirVhEQAREoCAG+5hcuXMiox+jRo1kNtCBaSY04BJhVzoqEbolyutLidaIbJg5r n5ELzVw+eHHqYOIYBxk6ca4llREBERCBKhMgZIcQjTlz5rDmOa/DKje1Wm0bNmwYyeu3bNlCs5gt tWbNmqFDh4aa6EyczZs3r1q1ChOnWgzat0aGTntGKiECIiACdSBgM8/vvPNOzTwvS3ezUA9LtxKM TCah3r17s1bD1KlTnfJRE6c+XpxgDyphYFmu5xT19JJry4uQFBsp0SJQMwKJb0nejrfddhsrABDM UZYY1cSNrcZFYfnrGcBiElawRZMnT/6///u/mTNnhuybtrjaFigXNxk65eqvVLT1ck17EZJK8yRU BGpJoMtbcsWKFZdffjnkvvnmm+Lz67KxxW9gMg2JLm+Y968trrYFkumT11kydPIiX6B6vVzTXoQU CIpUEYGSE+j+lvz3f/93Aj5k6JT8Qmigfttro22BcjGRoVOu/kpFWy/XtBchqTRPQkWglgS83JJe hKSE3609afLJB2M79QxD6Qhy225tW6Cj6nIvrGDk3LtACoiACIhADgQI7GAZc1s3oIzb22+/HVSb OFzbytgW6ZwqAXl0UsVbDuFejHcvQsrBS1qKQBkItL4lsW/mzp3LepCffPJJi8Wui3xfhzw61ic0 6tZbby1D/2ShI1mRyBfQsKbWI5L0+4wZM0Infve73yUFcxkX+5RHJ4urTXWIgAiIQHEIvPPOOyz+ gJVTHJUSaMIb98orrwydyETrBKKqegpprzdu3EjryLWDZeO2OO0lPMu2E044gYTLGzZs4Jopo5VD Y2XoxOlxlUmFAPNXyXbFpwMbO/ybSjUSKgIi8NcEVq5cScocFoMsO5gzzzxThk7rTjz99NOJXiI3 UnA5s7YB5hRg5Sy2ESNG/OY3v8GgXLduXVlyDUSBaOiq7He6B/29eKc7FfLhhx+ybHJIe5KVlfde 8tATEiEC/gi0vSVfeeUVXmPlHboCFV9HwaAc3sePPPKIP4TVkcTzlszXffr0Ya0PsmDHbBhnYetc cMEFLPsa/6yYwrMsJo9OlrRV118I3HfffVEcixYtEiMREAERiEmACVbBGJSogyemnMoX4wOSBMo0 c/z48Zgvcdq7adMmvkUxj4h5KrWV86fGBsfttF9PAl4ugzh3jsqIgAhkSaD1A42oC5TBo9OimJeH Q6rPVaKPHVLGaFKtqwLC+ZgcMmTI1q1bW7eFmB6oEt9TgSbTBA1dZfnYKWhdbV3ccfTuVMhpp532 +uuvhyTzQfbqq6/GqU5lREAEWhDgfjQbpUWZCgxd0TocD2eccQY7uHZ+97vf6apoS+DFF18855xz MGII34kWZgGQxx57jLzYLP9ZmYxEGrpqe1WoQCoEmKbIZMWgaP4NLkeXSq0SKgL1INA22rQyGI4/ /nhry0UXXVSZRqXaEOLQsXIIuyE8OVQRVs511123fft2VrCvjJVDG2XopHpFSXhTAhdffPGYMWOc rcPOueeee/755wuZCIiACMQn4CaZDx8+PP5ZNS+JLwdTBrdNcJl6YneMIQHLFZsUoqGrml/wf2p+ p6NODZElE2JuZ74txo0b19CPqu4RARFIRiDOLfnpp5+2yBbo6+GQTP/4Z9n6o7SlpFle4rfUb0nz 3yCTxeoJ1Zo0aRKZcog+9ltLEaTJ0ClCL+SsQ5wHYlsVEwtJfGJblVRABOpMwMud5UVI2r3AJHMy 2mlieQLO2Dr333//E0888Z//+Z8vvPACo1oJhBT/FBk6xe+j1DX08ixLLCTxialzUQUiUGYCXu4s L0IyoMhADBlfMqioklUsXryYDMikUa5k6/7kmKxPzFpVu7D7dnl5liUWkvjE7hsuCSJQYQJe7ixf QqrBOe3Xpc2Vq+GWOtiYFXjvgJj11rDLs2+yr2dZsj71Unv20FSjCBScgJc7qzhCcqftBUXrVmRQ Re4YQwpk0+QOZl0Rht1l+iDWy/3JT35CO5O9EYvWQ9JHBERABERABESg4ATiDl1hdmHlMEGmWZah tu10Ad6PPvqoDJ22uLIs4MWmTiwk8YlZIlJdIlA6Al7urGyEkLqQLHYQ7tmz53nnnTdw4ED2lyxZ csghh1xyySVB8u4gO3379iVFhfv1ySefPPzww22CNB/Vzz777I4dO9jv378/kzobTi6j2Pr16/fs 2UOx0aNHH3XUUS162QsKeXSK7tFh9q/ZOkwJ7vSed1YOE/Q7PVflRUAEREAEqkoAk4W1RTFxsEh2 795NAkDsHhrLap0szLRly5agKTN58mSSqnOEHUyid955x/26Zs0aloDg3/fff/+ss87iXYNMtuXL l48dO5aDUYDMpl62bBnH33vvPWoPSqsq7Zq2K+ZKFtCxktg67Hc0hoXVzKKybOyYLydmpTkWYxWY X/7ylyRmiOqQ6oXCKiSwbVhvejS89EhiIYlPTA+IJItABQh4ubPSFrJ//36qeP755x1wlpA89dRT 7V/bpwz72CKUZGVK+8mew7hq7Fc29h9++GHbIfG6O84OQhAV6tPQUl+cEi0TPMULitbXVQZVFO3C zqbJHcTo2IXVqV8n6Msp0Qqo+Dk/+ugjnJnbtm2LWjYk8HV2m9/rZtSoUc888wy1X3XVVUyY/Oyz z1K1qyRcBERABHIn8Pbbbzsdpk2btnTpUvuXff4++OCD/L3jjjuwRYIjWdglrD3JkkxB/fHKcPD6 668/4IAD7Dg7CIxOPj/mmGPefPNNN6SlZIO5XwYpKhDzPR0yu2L6dUK+HGeJx6w092IkUMLFEvWv WLtYNTclDZEP4RkzZoCdxWbTdvB4sakTC0l8YkrwJVYEqkHAy52VgRDcOfaGw3BhP7Sauvld+Im/ wZ/4l8I4eNjBTnIeHZPm3DkxuxKLh7Ooq0V5Lyjk0QkRyIAqNXbs0bErMo5fp6S+nKBRSZrICy64 gKyRIUsT1xQ5s2fNmkVGzjSMUORD+O6772bImaFlvjnw7qRRkWSKgAiIQL4ECChmWAqTBQc2YTe9 e/deu3atU4ngYqycefPmYcFEA4px8DBQNXv27FATnDsnTtMIAxo8eDC5lbVaVhxcpSwT0+BtaHa1 8Os09OWUzqODwhbd1tCngq8lPadOsF+2bt1qg2UffPBBzP7qqJgXmzqxkMQndtRGFRaBuhHwcmel LQQnjfljbONfDI6Q8yYUTOPeIxbZY8Ne2EkWo2O+GSynYHcjluMN3TzmAbLgntzdLV5ot2tHsX7P pskJPTqt/ToV8OU4o5Wl6hlCcs7VoDF76aWX4tTJIIyGzNzcxoMGDWKYOcGUt1Ia4FJaBESgBgQ2 b97MNCs+jK2t+Gxw6rCzd+/emK1nLjpmCvOzCM3hFKTx97XXXguezk/4bKICmZFOdTzeWc8yZnUq VkYCXRk6NDg6hlUlK8d6FG9Kw5EjgtfwstgHRNobg1ksKjtnzpxk0/vTVk/yRUAERCABgZEjRzIl avr06QQR4zjn74IFCzjSOqVNqKLLLrsMd44dZNCKz0LsHsa/GPdHJtYMc9E5GBrPoi6KYSQNGDCA fbaGU9ATNEqnFI1ABwkDcXg10x43g+US/OEPf2jLvpPDoNkcqwzSLvmljOnGHcINEw3LxwDi9rBA uWw2ZoHx8cF6s5iYvmps2yN8bzG1gadJi5HvtkKaaZv4RF/NlxwRqCQBL3dWCyFEKJLqhuF7nCVs LWYttdaERyhBNuaPYcNkue+++4KGDml1SLTD8FMwRgeZeGJcwkCE4MvBajHfDMYNRozrVnc82NHz 58+3CR9u40lOc/w+qaDEk5NYTwKA0LD13C4vXUbb9+3bF20FatgMtdCTHIuQlImWU5GcRqEThw0b ZvkbbaMvCOcgkSMThBvmYOz0VvLS5LaV+jF0qMZsHfIyfe9732th5VAym4a1bXlHBSwxdNS2sIv4 t7/9bUfSuiz84YcfMobl0dZp3SNYOdz8BAOGHjShViTu1sQndolRp4tAtQl4ubPaGjqOIZ5vnks/ +MEPootgx9EEbwqPGj6lGvpy+M4MvVY5wrd08NMrdARp5qFBYMMvNArwERu8BkICvTzizBx0ohgE OPPMM1kqnKCI6OUXB1TbixYD7vPPP6cYSZ9ff/119x3OXH0CvTnOKMQpp5xicoBw4IEHskOoEwYN CjCH/+STT7ZfCQ9lYXNXHjOI7Iv0Mnkdt2/f/vLLL3cU9N1Qcy9Nbsskbu4+BLUOYYIXVg7F/u3f /q1tSFd7tYpXgg+OaLtsaDnt6d/Rern+qBfL2ktcWYvO5ep3PuHQtM9Q1W2vkGaqJj7RS9slRASq SsDLndVCiE3UaLjxaURuDvdg9KJJ7t2UrBXNKGFqQCmUHjZZFc3I4Mfi6R0M9LbOItzbHbRAbzYL CWcnmLyRI0iwPIo8//kVo8fO5bhL3thN1/htcjNNuo3RMUYWl4MvByvn7//+79sGzHbDJZdzsXII move0nwB8B1jV0CWG99MqIQzKfRF4l2HlStXMse+YSy297okUAREoIAE+OZuuAUdFSG1maVxzjnn 4INhyKZKqTGaoWhxvBkl5rRDiWEQSw/b9qXp68LAamHAzkV/s8RY69CLPn36WNVvvfUWfy3Wmw2n lK3U0f2WgKo7JWbtHgydYPTxj370o8TrYcXUOJdiJ5xwgjkDoxseSLsCMt7wHzJuTTqfVOvlm4Mx 74MOOijVWiRcBESgsARafFu20JkxGj7GHnjggWhK4sK2tK1iyT6zW4g119ddd93lMeaydSv4cKWA zcnH5UZMgh1xGxPWiNphI9YHU4yhK+vBL7/8kiBxN1aFxRN/ZlxrlbxTjVbXraETnWMVJ5dg2+up gAVYdL2hVhi2eWl7++2343i0VX+1iYAIiEC+BBiOIbsY37o4DB555BFekEceeWS+KhWwdia0Q4nw AyjhTcHOyHj1CYauCN+BDMMUKMBQTJASP7HQKRYY0dwkT8EkcgE9RxxxRKF4tpggFdKzK0On2Uzy 6tk6DQPHHMq81rxl4IyQZCYspD2AVaiLW8qIgAgUgYDLC2/OG9KZEsBBFgye/90sa8jr33wJbES/ 4nXoqLGU5yw3NNPRuWkUdpQYMMJ5A6XnnnsOSoQfdEOpG1WZ0m+jV8Qk2FLwwQ3srAOPX4cQHyKO g+HGbmYc5b/44otudMj43OSGTut8OdWzdeiYZvbEH//4x4y7zVUHZ6zsX//613kpoHpFQATqSYAp PBZL69F5wzyps846i3m7Pb/dmOPDHJeO0tswnkLWnOJ8+0HJnDcs6YPzpgguLouzwXPDsBRGT7Or l6AFstQyV8usRrIN8dfZnUz+bRGkVbQ7IqGhEycrYPVsHYzxovUf+vzjP/7jzTff3GU8F6cXsGlS SQREoLAEGHDhIe932IXXKi9X5i3f+O3GDs3HtcBfXrdBP43716aRt3DhRH/lbd2R8dRNF8AnR+dN Q81x0jB6xQga41at54fPnDnTrQ/P5HNidMypAz18QqHgnm4opX1uEkMnjpVjelfP1on2B3HKaXdS a/ncRX/3d3+HUzRZSFf8Yc58m6naRUAEqk2AGADeo9dff717+7KzdOlSC4YlaiSYzW/ChAnPPvss E3/wAPXv359kMNFkd8xsYmjGfiW7jNlGDM3gZeEgP1Gg2kibtc4cOW0tFVIQMYccD5nZhfQFPja+ iqFXsjVQY74daaSVbLFaZzNRobU/naiYVRekGGo3zFuDWxLbNkclzZfYzXqfcXqkdRIds5aSQUh8 YrLqdJYI1ISAlzsrSyGWxqLh0pt0WXBpT5s0xMqd/A0u7UmQkP3E8yr4K/9a3herwp5mvKqDaWba XhVeULSuxW8VkAzBDD7GG+5zMMo/eJBfIdz2ddAWpiuQuMkdndiZRye+LydoSFbGr9OvX7+ogfzf //3f+X4TPPHEE1haaQ/9esn2nS8o1S4CIlB8As0GU1hCgaETy2f26quvksB3x44dHLFVIBhYwco5 +uijXQMJp+VXW8eKwB28F4T+HHbYYRTAb8TBqVOnrlixovhAEmsIyRDM4GO84T4Ho/yDB/kV1KV7 HXRg6CSzcqyTqmHr5BUk3+JCZ/rftddey6yHxDdD9ydyYZCMATkZLOTevbaSIAIiUEwCZoWEomfw WDPAZCE4EydOZOYzOwygEGLCCk3BCc/Mgg6+gFnviQUQCLy1jfEX/qUMTh1O5whjXjbLWlvlCXRg 6NhAaet1rFrwcrZOGZkSYd5C7RxT6RA2T0qG1rPfUwUOGZJiv/vuuw0XPU21agkXARGoEgGbDYQn JtgovC+sGGpHRo8ezb/E4mCyEGXC0pIfffSRK0yOu2CuXn4NLYDAmAuPKXw8VMHAFmYT1lJmUclV 6qnytSXmWJrfhsWstDjFiM4ZMmRIQ32wMxoug5WB8oQHoVX3K23Rucm0JfoKBSDA91YyCd0E9ySu USeKQB0IJL6vg3AyFkIYDTXidMEQIRDE/g2uqcSIFUds9SWLwiF2J7jfMEaHyBJO5KxgjA5DXZyO kJgXgxcUWcboxGxXvsUSU+3oxIRvuG7QdKRfNxV5PJc3erOIY47zq8e6YorCtsDI8GJjJeuRxx9/ nBO7b3uy2mNSUjERqC0BL3dW9kLMuHEbc8uDPWiWilta0q1JyUFbq9IZOuzbr0Tq8BdDx4JqbWkn O9jRspReUMjQCRFITLWjE//GPqm1tSZAzBojvqSzjBZjrh0zntKOBY7We9NNN3GQJFTd9x1N6Ogy sGgt4vtYyKb7hndae8P2kn6U7FUYfwWMo+q+gyRBBBIQ8HJn5SLEUuPQZKY3h2JjGZ9iknlweMsK s/akC9DBye327VeEIMoxtMw6wVPi4PWConVFGVQRp6VZlknc5I5O7OwNl2X7vdTlMQ8eiWqiWQeI UGEqVkdWgpd2Yd8w2YqBai/v9Y6uGEwKVk1nPVFW2sq+9mb0du/e/f3vf5+gbJbH85vBzEt/SYgI ZE+go/u6mXrFEYJ1gn1DkChfWZdccklJecrQCRFIfIF1dGLFDR2YdoSjxd3e0G2zadMmAvjJgJ7l XYcfBQ8Thg6vdi/1xkfEAqLnnHMO42UeVySOX3vbZwSfer/61a+IVSSJohcyEiIC5SXg5c7qXojH r83c+yLVb9oqgeqop5JR7ejKlKHTvkfMh/Hb3/42WhSbg9h+cnS2l+KphFk5Tz/9dPdjRk6jOFcM w1WPPfYYVXs3I+LUHgeeyUnDFItTu8qIQNEIeLmzvAgpGplk+ghFMm5tP1AzMHQ6mF6eRiNLIZN3 Z0NThnc/OWwym1tOlpqrrrqKxV/8WjlxuoAROga5Wcl23bp1BXeWMLzIFLk777yT1D7FWdgvDmSV EQERKDKBZO/jIreoCLplQ1WGTpu+5mWJG+OMM86Ilvvd7353/vnnZ5DDBh0wtsghccwxxzBNwKMv J86Fvm3bNpdCqRThL/QI6TRI7TN+/PjWCZDiNF9lREAEREAESk1Ahk6b7vv1r39N4G3UjYHxccMN NzC3PO3uJwyIF/aDDz7ImBETI73E/8bXGSPv5JNPvv/++zkl46rjKxktiaoETmGfsQGwG1E6VwRE QAREoNQEGsToPPnkk0yljrZq0qRJHORbmaEBAlNGjRoVnMLHQrKsPEIB1jUlH6X9xBS+VatW4Ypw U/tYYYRlSsjDzcabmynBrGCSKsFuBlZtUhXtjbptiJVhFInQ15SUZ6CKjBHUQo5zzCnySqdUEWIb IsKSu+2224hPsjnk3WBsrbkvyQ3lYOVgjzLy2DA1QHpIJVkEcifQ+s5q+CSP6uzr9sydhhSoGIGO rswGHh3e7iSLZGM+EeuD2L7loMQ0mTlzJmYQN8nYsWNt/RFm/bFoCLP+en67cRY/WRYEXpZIYBDB EGPl/OIXv+B0rBz+5SdsiMLSJ/oYf0B0gQVMEDwcuDqwALwrj3BGqciRg6VIR1ARtlSqVk7DJlC1 GaDZj5T5RQo6QpqILiK8SUtx+WUraeUl0PBJXt7mSHMRaEOgRaJGUlKG1gpBlktJaaveczo7JJ10 a7uzQ9JJS9FNJkpOsRTdJKzkuCWvtI2fQlkv08hhSi0JxHIWy6BE8w4zyTzVS4pl7VjYZc2aNd0v 7BC/1SFEZAziSKjtyTDG0cGX5BZysMiZeU4iaQzrOCqpjAhUgECzO8Iey9EnecMm+7o9K8BTTSgU gY6uzA5idN566y1E27prbMw2wq+Dk4Y34vXXX+/yV7KzdOnSUJ4V8+Vw8MYbb0zVUPAlnB597rnn otliGMRJtbM//vjjN954A9sxl7BfPHC4qWbPns0qWh4z5fjqlMRyCNnB8p4zZw5DpTh4EsvRiSJQ AQINn+QVaJeaIALNCHRg6Hz55Ze4ZJxBg8XDIgBkpEV0MLs2/w4cONAGp2zjMxorhzS+U6dOVU8U loCtQ16KOeTJGGrmeTJuOqtiBBo+ySvWRjVHBIIEOjB0OI3A2Ib4QsuRhMoQi2PuAaYOiX4xCdgc 8kGDBhFrlYszKRssBJWTCkgzz7OhXedaiJTMfWvBv9mTvM5dpraXggDzY+zOQlv2bWsbf9mZocMo lWPxxRdfsE9MCX8t9NhtxJds2bLFQpXZCMRhxIpIHWYPMdpVCpp1U5I55IzsMDWpRHPIk/URZhwz zwmf18zzZAB1VkwCqY5xxxHeQs/okzxmo1RMBPIlYKtZ20Yogm3BRewbqteBoTNgwABEYMSYIEY6 qMBCdoJryfIvdxERtUFt2GcWD3ES5KQJWUX5UlPtRoAg6+iSpRWGg0nHjDZmnqcxda7C3NS0ChBo +CSvQLvUhDoQaBg8esIJJ7RueweGDpE3xOjYpwDGCu4ZXo0MWjH3iox2a9eu5SBmEGl4GKviYHQ8 a9asWUiYNm2ac/bUoWOK30a+DjPOtlwEJjbznGRIzDzXYhFF6BHpkA2Bhk/ybKpWLSLQJYEf/OAH IQkEBLddn6ADQwfpTKciTQ7DY2QFZKK4pVq55JJLMGuYjM3B3r17Y/QwVsXBaHtsQhamElkEu2yt TheB7glg3nHpsrAGVzIDvd0LlAQRKAWBhk/yUmguJWtOILpKQZwJwq1WLze/S8gxw0E8N3369HFp kY27HWeHGVjBU/DxhEra4BcH2SEipHUgc/ed2lH+xO6rq6qE9DD6ktyNHFw7TOkngVCtxu+qeq0W oV3dXI2+9G+tQ7Mneaj2IjTEFxDJqQYBFmxmdMi1ZePGjW1z6rYydKoBRTeql35MD6MvyV3KwaNz 2WWXkcQom9V0vXSKhBSWQJdXo5d2dakDM1luvvnmRx99VHeEl+6QEF8EWDzgnHPOcdIw2dvOoels 6MqXopIjAhkTYLofae8JIHPR9CEFGOX9l3/5l4y1UnUiUEwC2P2sUcioruIpi9lBddYqONXpyiuv bGvlwMqPocPLg7dIs1dInbtEbS8CgZgr+/Tt27cI2koHEciXACO5zKgl3wTzZOO8RfLVVrXXjQAp Qs4//3xr9bnnnhun+X6GrljhgXnmrGZFPH+cWrMs06X/NktVi1xXehh9SW4mB/ubGHlW9rFs3Rd9 uzUMludXX8oUuSulWwYEinAhJdCB6Ye2kDDzRdrOZMkAo6oQgYYEWFT78ssv5ycSo8SZMpzEo2Oe G/46Fw72DQvFmZVjB11ssvpJBPIloJV98uWv2stCgNRozJklbzjjvLJyytJr9dTTJpnz7RrHyqFk x4YOzhu+j6+++mr+shH8jE1jB/lrOxw88MADmW1OMQ3x1vNCLE6rtbJPcfpCmhSWwKZNm5imy0be cA1XFbabpJgRsEnmEydOjAmkY0PH5JJbk1B8vDjr169/9tlno5Xt37//vffeY4XIhr/GVE7FakLA y6pALVhpZZ+aXEhqZjIC5AcnSziDVhMmTEgmQWeJQMYE5s6dy8pFMStNaOj8/Oc/pwJy4bDe9Zo1 a0KV/exnPyM7Dgl1WCRy3759MVVRsXoSiLNqT5wyLehpZZ96XlpqdVsCzCEnMzhfpAQgt01G0laa CohAZgSYe/XDH/4wZnUJDR2XA/Dggw8OvkVCtVZ4HeyYfFUsdwJa2Sf3LpACxSTg5pAvXLgwZqxD MRsirWpIgOSu8cdYExo6Lgx5z549pJStIWU1uSwEtLJPWXpKemZJQHPIs6StuvIlkNDQMS8O5g5L XzFZN982qHYRaE1AK/voChEBR4A55CTRv/POOzmiNU90YdSBQEJDhxzMBJAywWrkyJHNUpLUAZ/a WAoCOHVYpZw8T4TP33jjjaXQWUqKQBoEgnPI05AvmSJQQAIdJwy03IC8MJhXRXuIOLZWucU7g6t4 NlwWtIAUpFJbAgmSj7WV6beAFw29CPHbLkkrI4EiXEhRHZhDzuyqa665xmZXFUHJMnZuoXQm6RF+ B1Tq2bPneeed1zZnLy9lXHqhlbbJHR9qFKmxTzjhBIZuWAEw8cLbGANRCXaQiBd0aKgMC/U0nMM0 adIklKS9O3fuPOSQQ0aNGmWtQHm0HT58uO1HG8JPCT06ZuI4K4d/HbggQQAlZlSoi0nKiED3BHiv dC9EEkQgGQHNIU/Grchn8V4fMWIEJg5Z63bv3o0PAjugtcJnnXWW5VANbpMnT8ZaIiOM20g/tnfv Xo5jFSUm0FCCHeQvYhsqg9PR1CAwhpJOJbNjZs6ciRlEM8eOHWueFMpg+piSDRvypx/iTNwNlqFW FkDBndPpiSovAqkSSHAxR/XxIqRZMxM/L+p2YqrXSTbCU72QYjbB6cA3NGsfsjwQ+fKD5xZByZht UbEoARtUef75591PvJpPPfXU0Ps6+LJ2p4Te4CE5JoGxfo4zemP/Wm48tpAmdtwKhMQ6CQhxciwD n5W3epuZEw8//DCOH1cdZ1GexXzsCD+tXr2aHQ5S0g42bAjHO/bo4MUhUY/8NKV+9+AbxDSObtao hgt9YztzFjmv2aILuHKK/TR//nwGN0sNJ1Xl9bxuSyBV/jUU7uaQ81bQHPLqXQBmTNg2bdo0Jl7Y /pYtW0477TQ8PaxSwGPZnB82ZMkIF2uZdYSCh7+tdsDG9CM37Xrt2rV2nLoQ3lDs7NmzG66j0Kky 3Szm07Gh0xEdFS4mgU59g++//z4+RpJt4CNlw6OI25CD1roE7tNiYpFWIlAxAjaH/JZbbtE65BXr WZqDuwF3yIwZMxgT5yMTm4ODFqPDw5l8ehw098nmzZttiQLWwuQvZxF5EwLCQffpi1kT/JVvVxZB 27BhA9Jw3nz00Uc27Zrj2EwcxyVzxx13NMuoRzo98/qE1lFooUzDzoq5mE/DhnQcjJzX5dJpgJLp 2SxQKxqyRGGLdarVBgeGZl1u62YLfWPC9+nT59577zVPHh8H2D1MuMO3xz4WPdfWmDFjDB13Fxf0 a6+9ljFJL5GVXoQ0a3iqwjOmnV511aCUeysIreBuHTJkSIt1yHNXMr2rqD6SsWl42PKmW7x4sRkx PIp5XfJd6rw7r776Kl+n9kym0zFNLHTXbRxkJAib2I7wNcvsVDfxiLx81IIJxQvif/7nf7BpyErA 6zL0+mBpS9ZkCL5GTQImjoXzWvk5c+ZwEEcUAhsq47QKyceSW7ZsmXtbuX8RwtCV1duwIRwvjUen UyeEYW0WqBUNWaIz6nNvNGtpQ98gFyum+vXXX+/GK9nhFmL9PyenmftUSEVABHIhQDgO9a5bt07r kOfCP4NKMTt4OGNDkOHloYcewnNzzz334GLhOOG6r7/+OvaEbbzv+Le1SizrxIerbaEcHDzwMZUs oQxWDh4dE8VL09lG/NtsIQS+hK183759W6yjEIdYnMV8Gjek7ZB50QrEDFBqHagF02AMV9HamJk+ IZgwCcay8S9mPn/B1SL83Aqw4R5nPxh0lllDLAyt++q8CGmmRqrCo5XSZXQHXUxwRsNO4SC/hnrW Drpowex7M2NK3V8zDSWUohWlUDKlDqqA2OiT2T44+cstHwrj5aAL17VBqODW8IXoQomtIv61Z8WU KVMs+Df0+nDHneRQOLOVd0raQzuqjDs9JD8kDauON44J8R+MHMfsyrJM6wAleRo67YtmC323CD/H U4ppz63FAoF8T2D122ixtnwJ8GWGp5eEEzYV08UPOq2STf7Mt1GqXQREwAgQPMB36fTp0/HrmHdn wYIFHMHHQ2gwzg97DhNdQDjwypUrHTeCXSw2OebGQk8mlrcAMc6MkVmem9GjR1st1M5fGzuLbq3X UYivTDeL+ZRm6KpZlzQLUGoRqGWigiFLDHK1TT8Q85ooe7Gob/Cwww6jUS702BrIlc0Vz93Swn1a dhSl1p/+Is3/fffdZ25t2vLSSy81axGd6MwgniZ4cfhL5+Lujv8YKjUuKS8CpSNgIQR8rjA4xecl f9knOofjGCV4SvjsxOKxkSMmZFkD8btw/MEHH4zfXtwwfAATl4k0hq7wo5Czh9OtFj6oqJ1vqmar Xm7durXZOgqdKpN8MZ/SefCioy1BH52NtrhGmacBms64ce47itFhbqvnSFYc36ANAlrGgqBTkYM2 OGI7IXel85RmdoGhRvd1eRFShKErizmznBP0Dh9k0Svc/J3u7rD8WM6x7B5bzi3cPd44ElLtgjgK eClTilaUQkkv3VFtIdzs3LYNM9w0PG6L4QSZ2FIHUUrBYkFRdpwj9lSxf11im5Bk/g0l4AmJbTY+ Hk3MY0+zkP5B5Zs1xMO7IeNrKGroBG9XNzZJg4PvWgvUoqQxZaeelk2os0Iw+ZU3or3Y7E1pA6hQ NWIcBKD9a6aPvUR5WdrFx1/2OZJ9SkkvT20vQopg6KCD2aCWQ4xOifaI2TRm39CzFKNPnaHTNp1X Sjd+ql2Qks5RsaVoRSmUzKzLVFGnBOxZwUOD1wRvDfajxlanMlMqX/qhqwEDBsDXOd6ZnGVx4GQO wJXnRiJZmAJ/Hcct87S2ZgQa+gYZ/uBqBiCJofBSklOBy9oWc23hPhXkHAlw5eNMxr7B28z8OIbP bfDRRhuDY1XMyDBf98SJE93UTetZ/h500EHKDppjP6pqESgsAQa4MXGI+li0aJF5lYKrQhVL7ZQM qPTExnRCtPY00AfIoWOCW/ZOiPQoxZQc0zdo0sxn6GLvQ1U0c5/G1KT7Yl4+T70IKYJHxybQuUsa dyZGD4rhv3EPoNAsBje3ggLmDWWnxZyI7rusoYRUuyAlneXRyQysKhKBBARK79HhsdjQCdHW08CH rEszYDuheNtiGaTpaNNw1VUOYqqHlre1T3yOszX8xMeW56fiWvTpACysVCZKED/oeorc/+bL5LJ3 xr0NTrmNU5qFExa2mVJMBEQgbQKh9X+8vCgRYqsGsXU0BSxZY0uTGdk1z6CE3rUcBBzZe6OvZ47z qznnnZDoVFt+ip6bjKnOyoWAlzSvyYQwr54mN8uX5WgkE54MpuUkJRj5lFNO4WrHvhk6dGgoD5iV saSilGEK+nXXXXfSSScF85bi5mEWa5ajV1lSSsY2zlmlaEUplIxDW2XSI2BPBuQzAk6uClIbMzM3 mlu5UwXItm+nII3gztRfvgm8QDpFBApIgHume62SCbE5+SwQ/fjjj+/cubMIQ1foYDHjtlnEcUix 6KwrCrhgZPZtQhbDXt2DjS+h0y6wL5/48rMpWUCVSjq+lk1/1aEWm3MQTRBqYQnN4ojx8oamMri4 49AUp1AgRKgumwZktdg65Dyg+BJjx826imoYnJAVlN8w6KJFJxbuAVGHC05tTIOAl1dLMiGhvOY4 UcgtsXHjRt7BwZYmE94NKwurajEVwj19gmViTv7sRrFWj6QOrRZb68CWXUtJpQRis+/rqiqZoF06 JUoAH4z77HGfLm7epf0UnZsZ/OwJyrTJuZR3otwkTYphvlDAZFLAJa2wkfHgB5iVsQdOQw1dXgyT 71JdNMyX0aLfqxCj4/pPOyJQBAKkUmTg+YwzzmDE56qrrmIFaSYD5qKYhVW1CJwyjzEFgmWCbuSG 0Vq5tKVZpYQf8dO7777LZMC8OBcKiJQRgRABBqlZ9pFhaLM5eCKF1ic3V8r27dttkXO3ucSAIYEM Z5MGkHm4rJ1uLtU33niDv4yPN1s4nV+ZEE3to0aNCnl0+KmZhoyXBSdJMHBmhcllSl3xO1qGTnxW KlkLAgQudLq1iOF99NFH+bVfv35YPLXAl1MjH3nkETK38ljctGlTTiqoWhEoKAGW5OQpxFo99uVD KB5rmztdf/azn1kM66BBg2xth9AWDdFjeXMmN2DuOBMHE4Txcb6RWCMddwtpXzBHKMM6564uKrIP J/uUOvjgg916n800HDJkCKkxsKX4esSBhO+cmKFt27ax31FYjwydgl6aUisvAgn83i1UZUjlhRde 4ObkTZxXi2pS7zXXXHP//fffcMMNDzzwQE2arGaKQBwCmC/BZcYPP/zwhouZR6dTkEYL+dFpVnh6 EIgBxMgUJg6GCAvOYNNQOMHC6XZWQw0xdPgVPxC1kLkNE4rVLcncM3z48DgNd2Vk6HSES4VFoD2B 888/n6hkXLvYTHzlcP+3nZDVXqhKxCBw+umn45Z/+eWX8Z/ZVDhtIiACzJZikoTj8PHHH7sYmtZw zM5Yt25dsBh2D14WM2tw6mDi2ICXFaau6MLpbbugmYbYUjxCuanx5Zxwwgm4bLF4qJ08qG1l/lWB BN+vOkUECkiAy7p7rZIJsTvKOW+aqZFMeFAasXjBzQbdu291WwmMqVNvNnUloBQ6he9L+oLHbosZ cG2b3GWBBK3ossYEp5dCyQTt0ikhAhZTbMk/uZfdOj+hWGNMiujCdhYiw3Fb/wchtqSMq8JsJheV bGsH2QpLPDEoidhoULOVcTlLm2mIEFPAjCcLWA4aUjH7Wh6dzuxClRaBKAFGprJx3pAOhw8aWyGP jWDA6dOnZ9AjjLVT9ddff51BXd1X0aNHD56tt9xyC85wi17UJgJ1JkBkDOYC8chuFfHLLrssJhAi ezh3+fLltv4PQnCr3Hvvve50In7YtxWW2FosnN6ixhYannjiiZxoDiScOvx1OXhiNoFi5UsYGL9t KlkrAl6yn3kR0gx798KRwEOHR49VQYDe4MGD+RjiMYGxRXQeXmU3f4p9HkyhQEKK8ZkVnGOF/4MP tVBJO2iPLf5aasFgXq+o8KgCyS6/BJSanbJr1y4e6BdccAGBO1g/yfRJdlaCViSrqJuzSqFkNw3U uUECDdPq2m1rxRom43USLPUuD4RobHJQiBNF+WCe3lAZ/uWWpEBIgYaJf11hhEfritPL8ujEoaQy IlBEAkcffTRqYbhgiGCp8KHDVxcTRzGAGMNmn0kN8+fPt+cXf5n0TjGO8ytlOEhYH5OVrOSSJUus kUjgXw6yITOURryh8JACBYF17LHHEl7AzHM+OjXzPNopuCEL0lNSIwMCDdf2Cc5dargikFPMFvlp mCS92XpBzZJWIJNTTFRIgYb5LFzhUPn40GToxGelkiKQP4EvvvjC1h7n04f1yVHIzB02S1OBEYOb B5vGxuM3b95soYLr168nbNByc+F8vuOOO5CAI9pKciKDUxgxmCwkpLHhfEbHPvroo2A6xBZJMpwC 5MnIH9OfNSAMnPluzJut28xzzNbgtnbt2uCKQhi4tswQdjA9HuovfuXchuvkFKdnpYkIxCcgQyc+ K5UUgfwJYIXglTHHDNm6sEjcJ5GlqWiWx+Kwww5De6wWXmxTp05dsWLFjh07CCS0gTBOJJ8pNhMf YRg9zN7kPUdoDiuDBtvcIkkGxVyejPwx/bUGbuY5rS6abinp0yKcCyMGA5dUKFxCzBNmUBLLJqjG zJkzOf2ll15KSTeJFYGsCcQMWlYxESg4Ae6c7jX0IiS9WVeox/QHW348uJRMcFKDrUQT2kwl4nts ioQlUKdkw/kLTgK/2gQNNzmimfBmqeIT9EiCLoh5CotFMPOfJckyWCwipkoJ+MQ8BQVs5otttqgQ 3RRM1W8/EbhNL4dK0tHBgzErVTERKCYBeXSytixVnwh0Q6Bv3754X2xhh4bj5c3yWOChIV0pLhks pIkTJzJLwkamnDKMbvBlz1++5u2NuGbNGgZ9gtomS5LRTXs9nstiEayzc8wxx+CvIk7Zo+Tii3Lh XKaqGaa2TZs2benSpe5fcpYwJRgbl5xyFsilTQTKTkCGTtl7UPqLwF8RIEaH8SnsFY7iupg9e/bK lSvZJ1iHQQrMHSwklh3lCAYNLzMbtiD4xiaI7tmzh095s6J4z5GbK5gVvpnwsvRBrWaeNwznolvx 9DDoyZQrYnTsOsFuth60FLekoGU81BK1laVnpacItCJQTEeTtBKBTglwlXd6SrS8FyGpDl0FxyNc RaGRI0urZaNUfJ1bADIeGl5d7ji+DQ5GVwymsI1YsbHDKUhwQ1fulJDwUgxdBfuFvNVkFExvzfNU L6Q413n0oW8B5rbhzOMCoGetmLuoLDmbZYa0ayO4lH2celVGBApIQHl0ZAdXhICXpCBehDQD2r3w YD6JUC2h9BKWMyOYx8LKWzKMPn36BJNnRHNXELDszjXJ0XQXIeHJ8ltEWSWglOAU6mWNiJtvvpmd 2267zZZA97glU8mvAsTZDBs2DJn0lMuTZDHmzoXDv8zdw8FjSZKuvvpqfHhBNRAyadIkj4pJlAhk T0CGTvbMVWMqBLy8WrwISc/QSQVcwYQm6IIEp1ijyfX82GOPMRWL1UBZJ8sjicQq+dIhlFvSiWWs ijFKfDYuwMuyQeKT4wjzsHDqEMtl5dln9Iq4Ll9aSY4I5EJAhk4u2FWpfwJeXi1ehMjQ6aZ3E3RB glOCGm7atOmMM87gCC73bjQPntulSt2r0czQwZ9HikhizK+//noce3h3FixYsH37dlZCXbVqFZn+ g2aN2UCMYXW6WHT3+kuCCHgkoGBkjzAlSgREoHwE8OWQUqh8eifSGLcNc6ywb7BgGM/iL/vkwuY4 Vg7T8YJSGeEiTotfE1Wlk0SgKATk0SlKT0iPLgl4+Yb2IqSFR6fLNtbk9E49K156zYsQ10F+pSXo 9xbhXCbNorVCqxHZ8kPB6ijDAF80x38ClXSKCORFQIZOXuRVr2cCbV8tPLJxzrPKY8P0M6ZNWyGe lc5cXJwGximTnuIJak9wSlR/L0KKY+ik10GSLAKlI6Chq9J1mRROQgArh7nEZI7h8zTJ+TonKwKd unOy0kv1iIAIlJWADJ2y9pz0jk+AmMoJEyaQCS3+KSopAiIgAiJQDQIydKrRj2pFKwKkBj777LMt GZo2ERABERCBWhFQjE6turvKjW0bY8FaByzabInRmoFoK6TsBOM0ME6ZQnHworAXIQ6LX2mFoi1l RKB0BOTRKV2XSWEREAEREAEREIG4BGToxCWlciIgAiIgAiIgAqUjIEOndF1WNYVx8nvZqsZF7REB ERABEfBBQIaOD4qS0R0BL6vddqeCzhYBERABEagmARk61exXtUoEREAEREAERAACmnWlyyBnAr7m p8SRQ1781sns4wjJmVd31cdpYJwy3Wnh+WwvCnsR4hrmV5pnXhInAjUjIEOnZh1evOb6eiV4keNF SPEY/0WjOA2MU6ZQbfSisBchMnQKdWFIGREwAhq60pUgAv+PwFtvvSUWIiACIiACFSMgQ6diHarm JCTw4osvnnjiiQlP1mkiIAIiIAJFJSBDp6g9I72yIsAynyyDNXv27K1bt2pFyayoqx4REAERyIiA DJ2MQKuaYhL48MMPr7vuunfffXfdunUnnXRSMZUskVbeF4fftGkTfVQiAlJVBESgaARk6BStR6RP dgS2bdt24YUXDho0aOHChb169cqu4urWNGPGDNYU89i+P/zhD9dcc413+8mjhhIlAiJQcAIydAre QVIvLQIrVqw4+eST77//ft6jPXr0SKuamskdP348SP02+plnnsHrJlvHL1VJE4H6EJChU5++Vkv/ HwFemTfddNPTTz/9wQcfnH766eLikYDxZLzJo8wrr7wSaY899phHmRIlAiJQHwIydOrT12rpnwgQ 8DF8+HB2Vq9efeSRRwqKdwKMXt1www0eHTA9e/a87bbb8MBhm3rXVgJFQAQqT0CGTuW7WA38CwHm kPfr1++WW265++67NVyV0pWBU+eII4749a9/7VE+JumqVavGjRvn11fkUUOJEgERKCwBGTqF7Rop 5pMADgZS39occgKQfYqWrAgBnDp33nmnR6cONRx77LEbN24844wzNAlLV5wIiEBHBLQEREe4VNg/ AV+p91vI+eyzz26++eZHH32UoJyaD1fFoU0Z/93cnUSX34gBrAceeIAxrGA/xmlU2/q9CHG1+JXW VnkVEAERaEFAHh1dHhUnwBzy0aNHM4ecdtbcyonZ01gV3W94X4YMGfLVV191LyqYxXHChAkXXHDB 7bff7tddFJOMiomACJSRgAydMvaadI5LgE9/5pDPmTOHOeRxz1E5HwTSiNQxvYh05i/hyT7UTEXG rl27kEs4kayxVPhKqAh0SEBDVx0CU3HfBHw5+UNyeMfwLtywYYMb5vBVkW8AmcrLEgK+tEmTJpE/ 0HvcN53L1Dm8O2a/emmUFyEoRsofEv/s3bv3u9/9LvPFVq5cqRQGmV7iqkwEIgTk0dFFUUECxKuS uY6G8ZbVcFVeHcySGiNGjPA7/craguWE/Uq8DtPo8mpdw3rvvfdeQsGwcvj1j3/8I0uLED1NiFih lJQyIlA3AvLo1K3HC9deL1/Swc96hgx4uzz++ON88Qdb66uiwhHsRKGMITCIc9lll6Xh1KHReIwY l2QaHX+7X43VC5mGcdxkbLrkkks66SWVFQER8ElAHh2fNCUrXwIMHDAlhxgOImFDVk6+itW2duaE p+TUASkeo/vuu+/iiy/2hRczpcutoSYff/yxLw0lRwREIAEBGToJoOmUghIgPGL79u0Maigqojg9 xAIO3nPqWOsYoMRZsnz5cl+N7X6CWENNDj/8cF8aSo4IiEACAjJ0EkDTKYUj8B//8R/odMwxx7AO uYJyCtU95tTxvlIVgS8kfsRvVyij9q677jr00EOD/Lkmzz777EL1iJQRgboRUIxO3Xq8cO31EhvB xz1rO7QO1PBSUeHwdahQLhCI1DnuuOM+/fTTXr16dahv4+I2uQkb4tZbb6WEl0Z5EYJi//AP/zB/ /ny06t+///e+9z1mXWHqeWm1hIiACCQjIEMnGTed5Y2AlxdMHG0yqyiOMnmVyQsCy8UfddRRvrIZ zZs3jwlNeO9s4rqXRnkRYt2Ktwm/zs6dO2Xi5HWdq14RCBKQoaPrIWcCHl8wrVuSWUU5A21ZfV4Q PDp1iDdnYvm6deucf8hLo7wIcez9SivyFSXdRKD4BGToFL+PKq5hZq+EzCoqcoc1nP9cZIVNNzco Se4AZtVpravid5k0FIHiEJChU5y+qKkmmdkfmVVU045s1+zETh3XcZY4h9wBoQBkLz3rRYg8Ou2u Av0uAjkQ0KyrHKCrShGoIQECVubOnfvEE08kazvx5iwo8cILLxRqmlWytugsERCBLAnIo5MlbdXV gIDfL+kWiDOrSN3cjIBF6XY6/YqOYxX04OJWIfleetaLEHl0dPGLQAEJyNApYKfUSyW/LxgZOvle PVgk69ev37NnzyGHHDJq1KhQUhl0I5SYvx1Nv+IKIesgZz3yyCMNW+flEvIiRIZOvpefaheBhgQ0 dKULQwREwBsBBqeWLVuGlcP6VmPHjsV5ExJ96aWXXnvttQnWuWQyuTctJUgERKBOBOTRqVNvF7Kt fr+k5dHJsZPff/99suS99957pMxBjdNOO420ftH1LHHq7Nu3z3L9td1sidYPPvigRcJrL5eQFyHy 6LTtUBUQgewJyKOTPXPVKAJVJrB3716axxgWfw8++OBoU3HqzJo1i0lYcSj84Q9/aG3lxBGiMiIg AnUmII9OnXu/EG33+yUtj06+nbp27drzzjsPbw2ROoMGDbr33nsPOOCAqEp33333l19++ctf/tKL tl4uIS9C5NHx0qESIgJ+CcjQ8ctT0jom4PcFI0On4w7wdwJeHFbZ7NOnD7bO22+/PWPGDP4OHDgw WgNrQrH5WvrKyyXkRYgMHX9XkySJgDcCMnS8oZSgZAT8vmBk6CTrBS9n4c4hGPnll182Lw5rW+7e vfuhhx7yIjztnvV7HfqVljZAyReBahNQjE61+1etE4HsCDCr/IgjjnBjVYQPW7yONhEQARHIkYAM nRzhq2oRqBSBYcOGPfXUU1u2bKFVTCxfs2bN0KFDK9VCNUYERKCEBGTolLDTpLIIFJIA4TirV68e PHgwAze9e/c+7rjjpk6dWkhNpZQIiECNCChGp0adXcymZhbNkFlFxeScmVaEJJNQhwEsy6aTweal Z70IcY31Ky0DhqpCBCpMQIZOhTu3HE3L7JWQWUXl4F4hLb30rBchMnQqdFmpKdUhIEOnOn1Z0pZ4 ecEsWbIk2Py+ffuOHDnSRcWyHMGLL75IgZ49ezLzOTThmV937tw5bty46MJMJUVaN7W9XEJehMjQ qdu1p/aWgoBidErRTVKyDYHJkydjyrD4gG1YM9OnT7dzsIFGjBiBicPqBMx2Pv7447FsguJmzpzJ 6S+99JIoi4AIiIAIVI+APDrV69OStcjLlzRCnn/++TFjxljjmfhDSCzZ6ggTOfDAA4M/sfgASXtf e+21YMmHH354+fLl7mDJCNZeXV+X0DfffOOLpReVfCkjOSJQcwLy6NT8Aqhm848++mgatn//fmse Fo9r57Rp05YuXer+ffrpp6dMmcK41euvv27zorWJgAiIgAhUiYAMnSr1Zq3b8sUXX5C7hY0pP8uW LYMF5g5hOrhzWIuAL2x8OaTu5biL0WF+0Lx581hem+gclmfC6Kk1QTVeBERABKpIQENXVezVUrXJ i5MfIaFGb9iwYfjw4XYQ04dhKUJzFi9ezL9uJMtWoMTxgz3Er4TyfPLJJwpJLtXl8ydlfV1CGroq XddLYRGIQ0CGThxKKpMiAV9vKeJsyMyLolgtZKuzKVc4eFiFwLlw+BdnDw4eM2iuvvpqM33chpBJ kyal2FqJToGAr0tIhk4KnSORIpA/ARk6+fdBzTXw9ZYKRhw7pEGfjR185513mHhF1A6WEPOwOGvA gAH2E/uMXikkuXQXpK9LSIZO6bpeCotAHAKK0YlDSWXKSoBsOqeeeipTzbFvcOfwd8GCBRxhNta6 devYYaIW/h7bGMYiJDk0+bysLZfenRD4+uuvOymusiIgAmUiIEOnTL0lXTslgNuGOVaMXuHFYTyL v+w/+eSTHGc++cSJE4MCsXWYfsWvndai8qUm8OGHH1533XWlboKUFwERaEFAQ1e6PHIm4GXcAW9N jx49XCrkaJOIR2aOVXABpoanUIaPe8Uj53xNdFh9N5fQpk2bbrjhhgkTJlxxxRVcQh3W3LR4Nyr5 0kFyREAEjIAMHV0JORPQKyHnDih/9YkvoRUrVlx++eUbN248/fTT/WJIrJJfNSRNBEQAAhq60mUg AiJQOwL47a666ipizz/44APvVk7taKrBIlBsAjJ0it0/0k4ERMA3gV27dpFjieXPVq9efeSRR/oW L3kiIALFIqChq2L1Rw21kZO/hp3ut8kdXUIs/nrOOec89dRTF154oV81gtI6Uik9NSRZBEQAAjJ0 dBnkTECvhJw7oPzVx7yEGK66//77f/WrX7Gg/UknnZRqu2OqlKoOEi4CImAENHSlK0EERKD6BGwO +bvvvkv+pLStnOrTVAtFoFQEZOiUqrukrAiIQOcE8K8wUDVo0KCFCxf26tWrcwE6QwREoMQENHRV 4s6rhupy8lejH3NsRetLKL055C2arKs6x+tBVYtAiIAMHV0SORPQKyHnDih/9c0uIYJyGK4iF/Yz zzzjcR2rOMB0VcehpDIikA0BDV1lw1m1iIAIZEogOIc804pVmQiIQMEIyKNTsA6pnzr69q1fn3tu cfQSCs0hz/4ay75Gz0wlTgQqREAenQp1ppoiArUnwHDVvHnzZs+evXXr1lQz5RSc9LZt2zC2mGtW cD2lnghkQECGTgaQVYUIiEAWBDSH3FE+7rjj2MfUw+LJAr3qEIECE5ChU+DOkWoiIAKxCbAOueaQ O1q2EvucOXNOPvlk5p3FpqiCIlBBAorRqWCnlqtJimYoV38VUFsuoccff7zFOuTZX2PZ1xjtF9MB Lxf234gRI26//XazfrSJQN0IyKNTtx5Xe0WgUgQIyqE9Woe8Waeyaukrr7zCr+PHj1fITqUufTUm NgF5dGKjUsF0CBTh2zedlklqFgR4effr1++rr75q4a7I/hrLvsZmHh13PJfEiVlcAapDBNoRkKHT jpB+T5lAEV4JKTdR4nMmkP01ln2NbQ0dChCYPGnSpAkTJlxxxRUaxsr5olT1GRKQoZMhbFXViEAR XgnqmWoTyP4ay77GOIYOZXCAEazDzl133aVlv6p92at1joBidHQxiIAIiEBdCBCyw8qmrG86evRo zTyvS6/Xvp3y6NT+EsgbQBG+ffNmoPrTJZD9NZZ9jTE9Oq5YKHl0uh0g6SKQKwEZOrniV+Xf+U4R Xgnqh2oTyP4ay77GTg0dG8bSzPNqX/lqnRHQ0JWuBBEQARGoIwHNPK9jr9eyzTJ0atntarQIiIAI fOc7zL26++678eswRZ/U0kIiApUkoKGrSnZrmRpVBCd/mXhJ184JZH+NZV9jgqGr4ClYOTfccINm nnd+cemMEhCQoVOCTqq2ikV4JVSbsFqX/TWWfY1dGjqcrpnnulOqSkBDV1XtWbVLBERABDogYDPP jznmGM0874CaipaBgDw6ZeilSutYhG/fSgNW43KY2VeEqzqxDpp5rnumYgRk6FSsQ8vXnMSP4/I1 VRrnRCD7ayz7GrsfugpK2LVr12WXXXbBBRcQuKPFInK6bFWtNwIauvKGUoJEQAREoBoEjj32WNY8 //3vf3/AAQdUo0VqRZ0JyKNT594vRNuL8O1bCBBSIjUC2V9j2dfo16PjpBWhIaldFxJcFwLy6NSl p9VOERABEQgS+Oqrr5YsWcJfYRGBahOQoVPt/lXrREAERKABAeybuXPnTp48+euvvxYgEag2ARk6 1e5ftU4EREAEwgTeeecdcgPOmzdPaESgDgRk6NShl9VGERABEfgLgZUrV5599tnPP/+8oIhAHQgo GLkOvVzoNirasdDdk6FyxIsEa+vbt+/IkSPdrB8mAZHfhQI9e/Y877zzBg4c6Arz086dOw855JBR o0YdeuihMVVOVh0jPuvXr9+zZw+1kFjvqKOOalhdEa7qtjrAbcSIEZ988kkLaG2FxKStYiKQIwF5 dHKEr6pFQAT+QoB4EUyZ9/68Yc1Mnz7dfsYo4ZWMidO/f//du3cff/zxvKTdTzNnzty3bx9Hxo4d Gz+0Nll1jPgsW7aMqlETZRgDUheKgAgUncA32kQgVwLcIbnWr8qLQoArgcEUp82bb77Jkbfffnv/ /v2hn2699dZTTz2Vkngj+ImSdta4ceNWr14dsz0JqtuwYQNnUalVMWXKFDRpWF0Rruq2OoSaU9iG xOxQFROBZgTk0Sm6JSr9RKCeBI4++mgablaOWTyOw7Rp05YuXcq/b731Fn9x8NhPQ4cOdZ6eTqHF qY51oDCq3EBPr169WtTCoE++W6cEVF4EqkpAhk5Ve1btEoHyEfjiiy8+/XZ7//33bYQI+4MwHTw9 M2bMwG6YNWvW2rVrOW4xOl9++SWuHRfHg8Wzd+/e+M3utDoick455RSTv2XLFmYtEdLbsLoifFvH 56CSIlBtAjJ0qt2/ap0IlInA+PHje3+7Ef6CZcPYirlPxowZQ0wMw1KfffYZsTsUMHOH7YgjjmjR QkJ2zHJiixZLUJ2zcgYPHnzPPfcMHz68THylqwjUkoBmXdWy24vUaE3rKFJv5KkLV8LDDz88bNgw lMBJgzVjrhpsFPw0bpoV/+LswQwiVmbz5s3YPbhPTO8nn3xyzbebawYeIJcthsGv4FytBNWZ1YWN RaWoOmnSpDx5tas7zp0FzNbz1OIIaaeIfheBnAnIo5NzB6h6ERABR4Ap5dgibAwSuQEprBnGpNx0 Kl7M2BmcgvUzYMAAs4RMwocffnjccccFeTK1CvvGtuhU8E6rM1uK2hlKK7iVE/Oiij8bP6ZAFROB AhKQoVPATpFKIiACfyFANh0CcZhqzlxubBr+LliwgCMYLphE7Dz11FOUJqwHN08oaMbK2BZzIe4W 1VE1o134cjCw2GejUnWVCIhAwQnI0Cl4B0k9Eag7AQwU5ljhv8Gvw3iWRRzjWTHDhZ+WL1/OCAth PV6CZlpUZ6mE8RKhg20YPXXvHrVfBApPQDE6he+iqiuoIICq93Dc9uGt6dGjRwu/C+4TBrAoEBqE 4iA/9enTp6OBmATVUVFoCczWCsdteTrlvNxZXoSk0z5JFYG4BGToxCWlcikR0JM0JbASW3MCXu4s L0Jq3hFqfu4ENHSVexdIAREQAREQAREQgbQIyNBJi6zkioAIiIAIiIAI5E5Ahk7uXSAFREAEREAE REAE0iIgQyctspIrAiIgAiIgAiKQOwEFI+feBXVXQNGOdb8C1P50CHi5s7wI8dU+cgocfvjhbtkN FnDduXPn6NGjbRYec+JWrVpFZm1yJi1ZsiRYKSuU2SJlSNi3b19Un2qkf/TFuXpy5NGpXp+qRSIg AiJQQQI7duzAUnENW7RoETmNXnvtNTtC8mv+tX12XnzxRdZHY9u6dSsLk7EYCMfJnW0Hyb1EGdtn qyAsNSlAQB4dXQ45EyjUJ2POLFS9CPgj4OXO8iLEV5tslbH9+/eTS4nMSaSIvPXWW1nn9aGHHjJv zcKFC83uQW2yO7IWrFVNDmuyOwaP4PLBEgoui+ZLSckpIAF5dArYKVJJBERABEQgTODEE0/kkC27 gXdn3LhxrPixePFiWweNkSyW72hIjcEsTCLWghXTehKQoVPPflerRUAERKBkBIjFYWmz3bt3ozee G6ycIUOGsM+gFX+xeE477bRmTeInWxNNWw0JyNCpYaerySIgAiKQFgHiYJ5++mn+plHBhRdeiImD C2fevHnEFzOGhV9ny5YtDE5Rnbl8tIlAiIAMHV0SIiACIiAC3ghghWB89OvXjxDgBx54YNOmTaEF wrqpCRfO+vXrbfSKsBv+4tch3Bg3jy1o30z4nj17KNBN1Tq3vARk6JS376S5CIiACBSXAI6Wa6+9 9owzzsDvctVVVxH8272b54QTTnj99ddXrlxJzI2t/4pfh0Er3Dw4e5qxwPZimlWLAsWFKM18EJCh 44OiZIiACIhA5Qgwd8lXmx599NFzzjkHN8/YsWNx82zbti2Zm4cF6nHMMG7lwnHMr8MRi9dxGy4c xrPYsLemT5/O8Z/+9Ke+miM55SIgQ6dc/SVtRUAERCBTApg7HW3HHXdcC/2Y471ixYp//dd/Jddf smaYY8aF4+DXmTJlCkdw9gQFkiYHG4iNETSOM/m8xcBWMk10VlkIKI9OWXqqsnoWKlFHZSmrYfUj 4OXOSiakoSvoyiuvPPPMM4cOHXrsscd20xuMQ+ENwrXjhESPfPrpp+7XHj162CBXcLMZ6dHj3Sim cwtLQIZOYbumLoole5LWhY7aKQJJCXi5sxIIIYOfs0JOPvnkiy66iEUb8Kz06tUraVN0ngh0RUCG Tlf4dHL3BBI8SbuvVBJEoPIEvNxZCYTs2rXrnnvu8eK8qXwfqYHZEJChkw1n1dKUQIInqWiKgAi0 JeDlzvIipK2qKiACqRKQoZMEr27+JNSanCOYHmFKlAg4Al7uLC9CYnZKs6XFycpDUmP+BuNyYsrs vlg0AKh7mZKQMQHNukoIvKNpCPUpnJCmThMBEag9gWZLi+/du5cpVPzNhdBZZ5311ltv5VK1KvVF QB6dJCSz/MpJol8e5yRmkvjEPFqpOkWgNAS83FlehHSKLLq0OLOozJ1jO/xlDXObLh7cdxWROrl3 794tJlXhp/nkk0+CZVwVCHFzstg58MADmRLPcqGaotVpPxanvDw6xekLaSICIiACIhAmQNI/LBLL /sfO/Pnz+du/f392GO2y/VmzZtlppAcklyBHMFAoYCZLaGOdc351ZfjVJLtJ6XPnzl21ahXHJ0yY wN/zzjvP/tVWUgIydEracVJbBERABOpI4PPPP8eds2HDhhkzZuzYsYP9N998k8zImDg4ckgPiNHz zTff4LDZvHnzs88+G2KETTNixAicNJzIsuesP4q11IwjuQ35icKXXXZZHVlXpc0ydKrSk2qHCIiA CNSAwM9+9jNGkSwPMlmS2We5K/a//PJLVrxigYgBAwZgzRDTw3qfCxcuxKmDq8Y2ir366qvENY8Z M4YTBw4ceN1111GmGTYbrjrooIM0blXqK0uGTqm7T8qLgAiIQL0I9OnTxzWYEahg4/ft28eSn7by AxshzPzLOBTDUrZhAFEmuEjF4YcfTpl6Eaxfa2Xo1K/P1WIREAERqCKBQw45BG8N41a2MXrF4BTm Dn9tI36ZMsFltj7++GOcQCEYJHeuIp76tkmGTtZ9z3gwoXCuVvaZYsDQsh3By8q/fHY0U8vcsA1/ 5TjnNvvVTgnVnnXjVZ8IiIAIpEaAMGQy7qxdu9aepbNnz165ciXGDUNUtjECNWzYMMrYQ5inJeNW EydOtJEpYnr4S6zP4sWLgzoyKNYwqDm1dkiwZwIydDwDbSuO6Llg7NuiRYv44GBo2U60748WQlok dYiTbWLNmjWJFw1u2zQVEAEREIEcCWDTEKTMJCksHhvVmjZtWkgfzB2Ci4lHZuY8g1nMGyfQmBMf fvhhTuTgtddea8uh28Y+xx988MEc26WquySgPDpJAHaTW4KvDW4bAv75hsCRwxTHW2+9FU/pQw89 hCrYQHxhmN1jmR7YsXQRdiSY1CGUCgI/EMPSmEqMYbskE+5ERCGHBfYI0Js0aVLweCjhhImlcEcL /CZmkvjEJD2nc0SgNgS83FlehHSKPPrkCeXRMYHBzDehLDg8WnnAuidnVAGqoAyPymC2ZYTwuYgl FFKAR2uoZKctUvl8CcijkzX/E088kSptrArvDiPKWB54Su3WwqHKF4ZZPJbpgQ3rxAakgkkdKIl3 x1JBMGLlmrFgwQJLLHH11Vc7mSYKOR999JErGU0mwU/YYVaYTyKqU/aIrK8P1ScCtSeAjRKa5eTM kaBd0mzfplO1sHIAbGVCa0rwLwft16AC0ZK176KSAZChk3WHcfsR+7Z7924qxnODlTNkyBD28cTw F4sHC4MPiPHjx+ODJZ7uvffewzphUJlfXVKH0aNH43q1dBE22sW4srWkV69e+GM4a/v27eSQwKJy SSNwybr5BQ2TSXAQbxP14hC64447rFJtIiACIiACIlBeAjJ0cug7cj9g4uBuIckVGSD4dMCvg6Vi Mci4fDCGMF+GDx9urtQjjjjCtHRJHXAFYS2RCoKDfG2QL+voo4+2MiSZ4LsECXiGmEhJRS5pBALd 2HPDZBJ2kGJUFCycAyNVKQIiIAIiIAI+CMjQ8UGxQxm4cNavX2+jV0TV8Be/ztatW3HzYL5go2Bn YHNYrByeleB4k1W1Z88eZ/3wL9ZSs3V9Q0kj8PeYhIbJJPADBTNMuMIdtk/FRUAEREAERKAoBGTo 5NAT5PRkCIl5j4Qhm5MGS4VBK7wvOHv4l0AZy/3AEBLzpAYNGhTSklQQQeuH8sEp68HCoaQRLj9E w2QShOYE52QpmUQOF4eqFIFMCFhiC2IBWyekyEQXVSIC6RKQoZMu34bS8b7guWHcinAcK2B+HY5Y vA4OG+fasaQOOGCcKJI6cCKmkhk3eIYIrGnWDEssYSUZGnP5IRomkyD0x7JQ8OzjbyiZhHdSH374 oU3+xHc1Z84c2VXeCUugCDQkgIkzc+ZMnio8GcaOHaskMbpOKk7AJZHUTnwCXBPxCzcsec899yCE oSL3q0XPEEdsCT2JlcHWYWMHxw+/WkkrxumEDLtLk38tKpkj/LWSnEVmCHZIGmElTZodDB7nJwrj PeIgYi1PKCWDhdu2t1MmH3zwQWhaBNYeBlbbilRABEQgDoFmt6QlrSCwz4Rwm69evbqZwE7v6ziK qYwIZExAeXSSGLLd55bgE+rrr78OBtZEj+CAcakgglkiXFKHaCqIUDIJ2mZDYxbU7AwLN3MyJAHJ xAkR42xymI7Odskll8Rh1CkTfDn3339/SPJdd9110003xalOZURABFoTaHZL4sVhJqal8kLC/Pnz uestj1d06/S+VqeIQAEJyNBJ0ilVvfkt5SCfdyx0R7AOcUL4nFqno3D4YJIEpc4RARFIjQDfzVHZ jEqzzqXLxs6/y5YtIxZQhk5q/SDBORNQjE7OHVCo6pmpztAVH3wsTIGJY2vgdaRhfIfk97///ajk iy++OL4ElRQBEWhBoMWdG5yz2dENrsIiUEYC8ugk6bUMPDrMhggGIDstGVAnXpi/zeaTJ2mPj3M6 ZXL33XfffPPNwZpJsn7jjTdq6MpHb0iGCPwpxr+ZR4fpC+4nHjW4c+TR0RVTYQLy6BS0c5mRhE+F bfny5TaEZFuclTsL2qS/Vos0zcz8csewcoYOHcrBUigvJUWgvAQGDBiA8m5WOY+aYPas8rZLmotA MwLy6CS5Njr1XiSp48/nMBH0xRdfDH5vhda341+3hGdw31XK/PPgsp12Ogc7HZZq3YoETAjHZozs 97//PZLPPPNMxq169OjRDSudKwIi4Ai0uCXJOjFx4kQW97V1hRmwJhN6Q3QJ7mt1gQgUjYA8OkXr kTb6EC+M1cJf22HGhC3hyQ4uaNtnDSyTQg4enmi28CcFmGNlZzGXioOUz7fxmDUMVD3y7cYCorJy 8u0O1V4fAkuXLsVVjBHDc4DkFM2snPoAUUurTUCGTrn79/PPP8edwwfZjBkzWACLfdJjkHgQE4dv tcGDB9vCn2TO2Lx5M2t8WmvxVBNoPGrUqHI3XtqLgAgkIsC0g5dffpmHAE8GAuOaydi2bVsi8TpJ BIpFQIZOsfqjU21YwpNkGKwpwYksH8E+q0mwT/Zkpo+S+o/xeLw4RPawnNbChQtNPmfxpCtaOHOn bVd5ERCBxAR4VrR+CKxYseLkk09OLF8nikBxCMjQKU5fJNGEGF53GuNTQRFM2mKZCPLi2EZEM/8m qUPniIAI1IkAwXOMKT/99NOkL284b6tOMNTWKhCQoVOFXmzYBpbtZBa6S7OBj9rWiNAmAiIgAs0I MAnLQnZIHHrkkUcKlAhUgIAMnQp0YuMm2HKepD3lZ8KQZ8+ezXrplW2tGiYCItA1ASZ49uvX75Zb biHNlSYHdI1TAopCQIZOUXrCux7MHidImcxgWDw2qmVLhWsTAREQgRABhqseeOABPoe2bt1KtJ/4 iECVCCiPTpLezDK3BM4YVHTLcLIfyqNjDQgu5xla2pPpV25x0FDJJI1vck6WTDyqLVEiUFUC8W9J hqtuv/12OLCqbq9evaoKRO2qLQEZOkm6Pv4TJIn0cp4jJuXsN2ldWQIxb0nmkJM5kERWV1xxhYar Kns11LthMnSS9H/MJ0gS0aU9R0xK23VSvJoE4tySzCG//PLLX3jhBdJPVJOCWiUC3/mODJ0kV0Gc J0gSuWU+R0zK3HvSvYIEWt+SBOUwoj1kyBCmkWt2VQW7X00KEFAwsi4HERABEagXATeH/JVXXpGV U6++r2VrZejUstvVaBEQgboSsDnk11xzDQAUlFPXq6Be7dbQVZL+1jBNlJqYJLmSdI4IpEYgeksy XPXYY48Rl7NkyZKTTjpJ92xq7CW4WARk6CTpDx4QSU6r+jnKFl/1Hlb7ykQgZMe4OeS33XabDVfJ 0ClTd0rXLgho6CoJPLeuQu47TJcga07uasjESXIZ6RwRyIoAc8hJAzho0CBW9lVQTlbUVU9RCMjQ KUpPJNPjzTffLMgKVrJ1kvWgzhKBtAnYOuRz5swhLkdBOWnTlvwCEpChU8BO6UClWbNmMW+igxNU VAREoDYEbB1y1nZgHXJlyqlNt6uhYQIydEp8TeCORnvSYJS4DVJdBEQgNQK2DrnmkKcGWILLQUCG Tjn6qaGWGzdu5PiWLVt27dpV4mZIdREQAd8E7JnAWJXWIfeNVvLKR0CGTvn6zGn88ssv2/7mzZtL 3AypLgIi4JsAmXIQyQpWvgVLngiUj4AMnfL1mWnMZNFnnnnG9n/zm9+UtRnSWwREIAUCBB1rfkAK XCWylARk6JSy21D6v/7rv5zqjz766GeffVbWlkhvERABERABEUiNgAyd1NCmLNiNW1k9BZlknnKj JV4EREAEREAEOiMgQ6czXgUpzazRe+65J6iMJpkXpGukhgiIgAiIQKEIyNApVHfEVWbnzp2hoppk HpedyomACIiACNSJgAydUva2TSwPbppkXsqOlNIiIAIiIAIpE5ChkzLgdMSHAnSskrfeeiud2iRV BERABERABMpKQKuXl7XnTG+tP1zu/pP2IpAfAT098mOvmjMlII9OprhVmQiIgAiIgAiIQJYEZOhk SVt1iYAIiIAIiIAIZEpAhk6muFWZCIiACIiACIhAlgRk6GRJW3WJgAiIgAiIgAhkSkCGTqa4VZkI iIAIiIAIiECWBGToZElbdYmACIiACIiACGRKQIZOprhVmQiIgAiIgAiIQJYEZOhkSVt1iYAIiIAI iIAIZEpAhk6muFWZCIiACIiACIhAlgSUGTlL2v7rUm5T/0wlUQTKT2DJkiXBRvTt23fkyJEHHHCA HXzllVdefPFFdnr27HneeecNHDgwWJhfWTZ43Lhxhx56aPlJqAUi8B15dHQRiIAIiEDVCEyePBlT 5r0/b1gz06dPt0ZiA40YMQITp3///rt37z7++OOxbILtnzlzJqe/9NJLVYOi9tSVgDw65e55eXTK 3X/SXgTSIcCT4fnnnx8zZoyJ37Jly+DBg99+++2jjjrqwAMPDP40a9as9evXv/baa8GSDz/88PLl y93BdHSUVBHIiIA8OhmBVjUiIAIikBeBo48+mqr3799vCmDxOE2mTZu2dOlS9+/TTz89ZcoUxq1e f/11zKO8FFa9IuCRgAwdjzAlSgREQASKQuCLL7749Nvt/fffX7ZsGWph7hCmgztnxowZuHzw5axd u5bjLkbnq6++mjdv3iWXXEJ0zq233orRU5TGSA8R6IKAhq66gFeAUzV0VYBOkAoiUDgCPBlCOm3Y sGH48OF2ENOHYSlCcxYvXsy/biQLu4doHhw/2EP8SijPJ598opDkwvWuFOqQgAydDoEVrLgMnYJ1 iNQRgUIQ4MlAnM2wYcPQBquld+/eNuUKB8/evXudC4d/cfbg4DGD5uqrrzbTx20ImTRpUiGaJCVE ICkBGTpJyRXjPBk6xegHaSECxSIQCkZ2ygV9NnbwnXfeYeIVUTtYQszDwrszYMAA+4l9Rq8Uklys rpU2nRNQjE7nzHSGCIiACJSTANl0Tj31VKaaY9/gzuHvggULOMJsrHXr1rHDRC38PbYxjEVIcmjy eTnbLa1rTUAenUJ0/5NPPnn44Ye7EXRL2DV69GiePuhHhOCqVavwQr/66qtBdU/5drMjSNi3b1+0 MbidQ6nDrIzc0YXoeCkhAukQaObRoTaMm9mzZz/11FNWMxOs7rvvPh41p5122sSJE0NPhosuuqhP nz4PPfRQOmpKqghkQUCGThaU29bB9IfPPvvMPU14uPAYWr16NdMfONflwMDDzFPpuOOO4yDlGU1n ZsTcuXP5d/78+Z9//jk7pMTgI4zjVim/8shzZzlN7CxtIiAClSSAt6ZHjx4uFXK0jcQj8wVFAfua Ymt4CmW+/vprxSNX8iKpT6Nk6BSir4MD5zyAGCnHUnGmD96ahQsXMlIe+kqzwfVg7i8ag/+GjKhr 1qxxDWvxbVeIxksJERABERABEUiNgGJ0UkPbieATTzyR4pg4/N2xYwcOmLPPPhuHDZ9THGEki5H1 qDwG0bGHLEOGNhEQAREQAREQgSgBGTqFuCrwHhMGyLozaIPnBitnyJAh7FsCUywehs8bKspxN9be oiV4ffD0uE3RhYXodSkhAiIgAiKQPgEZOukzjlfDhRdeiIljmUkJMWbsHL8O0TmMTyHAXD6JNzJn uOX92Pnyyy8Ti9KJIiACIiACIlAiAjJ0itJZuHCII7bRKyJv+ItfZ+vWrbh5bPJnQ0X37NnDr23b 8POf/5zoY7e5pf7anqgCIiACIiACIlBqAjJ0itJ9J5xwArOlVq5cSdiNzZXAr8OgFW4enD0NtcT9 wwrDzX4tSsOkhwiIgAiIgAjkR0CGTn7s/7pmJnDim2HcyoXjmF+HIxavYxsuHAaz2BjVIusXR376 05+2bYM7y85lszBnbSIgAiIgAiJQbQIydArUv+abceE4+HWmTJnCEZw9TsvJkydjALENHjyYg8w8 bzaqFWyYO8vOZbMxMm0iIAIiIAIiUG0C/z8ih5q/1noJHwAAAABJRU5ErkJggk== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0026_image200.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdhwQHsAHcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAAwQHsAIcAAAAU FBQCAgIZGRkMDAwdHR0QEBARERELCwsTExMBAQEXFxcaGhoEBAQfHx8ICAgGBgYKCgocHBwDAwMe Hh4YGBgODg4VFRUFBQUHBwcJCQkxMTE9PT0+Pj43NzcnJyc6Ojo5OTk8PDw/Pz8lJSU1NTUyMjIi IiIrKys0NDQsLCwjIyMvLy84ODgkJCQpKSk7OzsuLi42NjYtLS0wMDAzMzNVVVVYWFhQUFBfX19a WlpTU1NPT09WVlZRUVFcXFxUVFReXl5bW1tdXV1ZWVlHR0dSUlJBQUFDQ0NAQEBISEhFRUVKSkpX V1dNTU1JSUlCQkJOTk5GRkZMTExERERLS0t5eXl9fX14eHh0dHRsbGxkZGRhYWF7e3tlZWViYmJy cnJra2toaGh8fHx1dXVgYGBtbW1+fn5xcXFqampjY2Nvb292dnZnZ2dubm5mZmZ3d3dwcHB6enpp aWlzc3N/f3+bm5uPj4+Ojo6AgICXl5eJiYmTk5OFhYWRkZGUlJSSkpKcnJyNjY2ampqMjIyfn5+W lpaCgoKQkJCLi4uenp6EhISdnZ2ZmZmGhoaHh4eBgYGYmJiKioqVlZWIiIiDg4Ompqanp6e8vLyj o6OlpaW5ubmzs7O0tLS4uLi9vb2vr6+wsLC7u7utra22tra1tbWurq6qqqqrq6upqamxsbG/v7+s rKyysrKkpKSgoKC3t7eioqK6urq+vr6oqKihoaHS0tLZ2dnX19fW1tbFxcXe3t7a2trExMTIyMjf 39/Hx8fKysrNzc3b29vGxsbV1dXc3NzBwcHLy8vMzMzJycnOzs7Y2NjCwsLQ0NDDw8PR0dHU1NTP z8/T09PAwMDd3d3+/v78/Pzs7Oz9/f319fXt7e37+/v09PT5+fnz8/Pk5OTq6urx8fHr6+vp6enu 7u7o6Ojw8PDl5eX4+Pjy8vLj4+Pi4uLn5+f6+vr29vb39/fv7+/g4ODm5ubh4eH///8BAgMBAgMB AgMBAgMBAgMBAgMBAgMBAgMBAgMBAgMI/wCtCRxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTNqzH1FpT gfWgRmUa1elUqliVat3KtWvJetewPR1YL1tUbUy3oY2aTeA1bt28cfvGzavdu3jzLqxny8qVK+Ds 3GIa7o41cXduecOTB4ulenpw1QN3KdedLFr25Kqqt7Pnz0brjduCiRyfTFx0Md1lo1wfTdb89DHH 60avLb3q4bJyDt2fLuC6gR5OvHjOepu8UE33xRdTcDhwgKnnzcYvppwAhQFW79YVbvU6Bf8ayzCr 8fPo01es50kMVXU5gjE1t6GLjnXXdrBj+kmQGGH17DKGN/WAogdn5akziB/tIKjegxBG6BQ7PAxT TyhklEEMU7/sUI8lN7hDBiH1vGOGKGcUUuAXTo3yR1VYxRhjDwAAwIE4Muao44489ujjj0AGKeSQ RBZp5JFIJtmjhBjVQ4oPYdhQTBg4fNGFMWLAUw8al2ADhhc3vIhLD2n8cAxTpajoFDBqZOHmm3Bm kUONNeIQ55145qnnnnz26eefgAYq6KCEFmrooYjCucYoDjIpUT3rIBNPPeUMg8su7qjjVDrklGXL YEyVI0w4U8HzzlTAmPLLqqy2Ks8xAdT/KMAp8rRq66245qrrrrz26uuvwAYr7LDEFmvsscbKgwoW jTrqEIxNySiVVU9RRe1VQBoyAAFkXKvkt+CGK+645JZrLpDJHNKss3t501ZB9XADDzx1WTUttU69 xVQ27lyzLkFMKfMFeewWjFM9vqhr8LPzcHKtU6GAgUgiyzDlb47ggdXJNtgk8s44nNS7lzhsELzw yTEhrDDKCTGlyDHeDMMMLuY4ZUUf5KAjTjajqLGIOMOQ0YYu1zACRCPwhOOINYd08I01jzTzL1nk TDc1RVezrLVBKmcdUozwNmteyuS4AU88o6SiCTJMQZLGJ1KrEgYumRDyxiLAWDLIIrj0/+GMLaMc o0ck5dTzDCImw1t14hZlo6XXW2vd9UpMEQNKKPJd+006WYG1Siij8GLtVZQnU0Y98XQSCivQOAVJ EKi0cg0hZ1ojxCViYIHMIcXsG0ghQzTTRTT1FBOEU3stDvmz1wTh3PKRLzy5Skz5IIkrRKASKoFw SFOPO9tQdU4RirwyhDlVmdUN59Q7c3o5abtScT1XvELVH3zUM4wap4SDSRxWaEU9mrGJQIwCDnnw QCqK9wbkKaQeyuNIVIjghVAwLnpbm15KmEKEXeiGC+dARBrAsIoPSAIaXnjDIMCDDSP8IhxykAci cFEOVMCiDWtwBvQygjowjANs9XiE/f+csg43kEEIz4hFELAQC2kIgQxk6EQmmCIOPpAKFnbIGgSt JkF3sMEcdxiEO3aIQSZpECVM0cFm0kGEW1hCGHDIxBymkQxWlEINYhHHEc5AByLAYw7ICMckzIAF WfRug/V4hQARVI9zqANG8JCGPJiSi2T4axzLKIc3fhgVdWTDG48AUHkiuJHvrcEsmmADPchYRgid 8SRMEcIqeTGHeUDiEGQAhRnWcYwwUIIO4cOGDdAnh0Kw4RaIYYYfwsAKVl6kHtjwhL/CBrBojW5s 86GG17Z4wYmY8nGlAEMyutlKZ73SJExBwiIyEYZW5OIGtjCDHtqQCjkY4hM8QAfqQDD/CVd84RmL qMQdwmAITSQiDOT8SELXs01SauSbVDGGGzSx0HK6MmHObJIlFuGIWUTFE38gBS504Yp1DOISs5jU NQLBUW2GoxKRcAY7CHGHmrEko+V5lkN56I41aAkq56CEHrqBU4t+5pywHNLDdIQebhYVXj39KVSs cQorePCpRsULUmEJL7JYJRZ+IJADp3qv8zi1iz5lZD1WoYUNYTWrXtlqyrhxCEJo4gydMthZSxlV BzGFHlgYz1vhuhW5vqSRV0BcPWCxBmYMtit7fWhfpRItdezhDg0irIQMe9N6yOMKi6ycG7jzWK04 dVzcSKtVlrGKnzJlEX0orWZ5eFOM/9aEKcJAg1vJMgwryKKioIksT8EwzfDIAQ96eFc9ZoEH2c7W Itainm1pUo9PzGEzao3HGQYxzc2KIwRuCK94x0ve8ppXvGYoAEWZkobcdAF9ToHFHZz7XG+qQxJm ke7KZFKPUSSBCGT4gSid4o0r0EIRHLjEWCGkDVGo48EQjrCEJ0zhB3/jHErQZlkgYSFHVGMq8qVv fSNSj2+IwA/sQ+N0ZZKNcWgjHd9IxClgJA4uzAMb6ujGuzZrrmuQwVpzqBgk9hPf+Y4YJt+LwyXY YCERc23F/KWKHUwBo3OggSnjKIQ+jwywydajEI9QBBzGWGQnc7k88LjyMehAWnRCmf9y0SVLI6gM lW9gwRyNWIMlxHFmskzWGtzQAxxWCZUQ9/mm8FjDGH9RifV+5c2IdEYzTgWwOV8lHB2IQy3OAVyL QpSynFmskQ9NvUSPsR7wWMQivmFmp0AajaCQhCIkAR45UxnVoADDCDrV6s3+2V5kMTSpN2jqq7Di DKASCWeVXQ9C8MEZV0ixUxohi3SYAguvMAazeu1r1SJE1NweNlmKLRVdrOEZnSbxq2H5DCK8oRKh rkcttAAJQeznHXFIt1E//W1hixud5JYKOBwBG/ou+2vZyIMzsgGHSVIFGUYIgzHEl+9wu/LXXPP3 v0mCakX7FR54qAOOZHtwkETFEYj/oIYc0JcNZQhCE3D47VTOUfF/8/sg4N44wD3OtQKdYRo7LuW6 0VmOQ4CBeN1oBCI28wpGAbXm4r55xket85F0nMxetUoxllCLxzIlGpTQtwSxAo8PM8XSTxd7OaUO L41X3eQBt8qO64GK5pZSG2oQgRqSQSqXVAsrmXC6U+JBh7H1me0AcztZ4vx2rAU8QHLAEVQ6kb9S lsMANTrAgGOiCitQ4hBFUAWMumGEVsjCFMcQ2ZkRH2yqA4wdrWBb46FL7gBxgQSchkorKv/QenCh RiUQa8p0wQFAOEMPmSOwIzbhBxPIIuhcZj1USNEIHfHiBABAwCXOxf3ue19cWcD6/zykoQP4OmX3 Xn9FjZhFXXNAAhPkYcoyuqBDizcV4/A6BhMCWon+938RI0AnBXAI/Od/BniACJiACriADNiADviA EBiBEjiBDLgIWIAD+eUU6tAE5lcP6CdBzIB5omB/5YENlcAIf9cJXyAZUYd/AIMJbtALMjiDMqgD dIICs0CDOriDPNiDPviDQBiEQjiERFiERmiEeQACDiQaPpBZ58d7D3UNJpAAfXdb7rAHlLAW9ZAK Y5BXLehtOEcKk6AjxpACCjAAt/Z9ariGbMgjYIB1lDIHVeiBUPhQXuAEamd1iTQGkyIJhIAWGyd9 ZYZzt+ADyTZ73hR31qANc/eBD/9EJNSgIkVCYkiSDHvQBaF1JDwkJKblgq0nNu0wBnk4e1fHSADj iAlhC6lgCazYiq74ipZwCY0gCZcAi7boip3gDhGRDq1wi77YirSgSzdgCrTwi7+ICpzwDs9UD2Dw BnAABmgQjdIYjWDABl6QA1uWFIKYc1yzDiWDiOuhiPCCijgnBosQCHaQjozgCpdQC4GQCYpgB+9Y CK7ACIrgCq8QCLVwCfWYjvIYC64Qj/4YCE9gIQ9RD9HABOjojwzZkA4pj5mgCQv5kBQ5kHFgA8oI XdkgAk6ADOMADiAZkiAZDtEABU2gix0xFpr4KJ5YaK5HFt5IgoRVii1DjlyDBaT/ghW8AAxEtUpM 0Q7wED7fwzniAAxNRhXmACpYAQnTsDz1kAyP0IYxEgxj+EzwMAakkAaFkyPdQAmAAG8eIQ4NEhXx oAqhkAq0kJZquZZs6Vadto2KR0TfCI6JyHPfZpPwAgcG6RSGQAavQATRgAiAUA+90AbDsABUZgmj oAxtoAdpgAhmsYd3cAjCVw9ycEjPsgx1IJNO0R9FhWrT0QlqUIVQEQ9jgAnyIIopuQ5dIHPIwABN wAiDMJu0WZu0mY5ncAWxgF0H2ZKD2I1zSZckJo6nWIdco5dXAQSRwA3RgAvqoAbNYAbKEA4nsATx wAp2IAYVMw6nIFahAJRhMIeX/+mUmsmZ1SUIn5lm4KEKbzCH53AGnFA8XUBy81AHE3cN5eBhQjIP mwAHlKBP2+Sb3AgvMSmcj0KcZIGXAIOcUHELXMAFknAqq6ACg4kLaQAIfUAKe/ADj/N3V9AHSzie B1meN+WZVokGp8YKbbCV31AHooeQ86lQnkAiTAEMsbVNTMENqDAGGlYeGMdIcQkpwWmgz4KgugeF jFcPDFoP2rAhu/BuUbEDm5ELXJAOYbADjCAE6MMeYhEvjpAHKimiOBd/JPqIYANq0ZVQ55meKEoV p9AG2uAOkJCG0SCK5pIOkrCl2QAJ5vcskAIG23c1N7cvn4hzBUqkB2mkT8gZ3/9AVKOzpPDgBYPA DF0wX9yAA7ZQD9OAA7pBAIowCWgADX5wA5xWD4eQBMewDLXmFGJqEO9AIKNTpgnBDdrADXBxMfZS IuogVt+zYDhnorTXplZhCmbQB4vkFMXABt3wDczarM76rNAarc76Dm7wCVOBCiP4KPclQHvxZ/Vg Do8wKS4pNoeKqEuhqPWgCoLFFJaQAlewCZPCFFiwl/UwD1cgBnqgi9egZfWqCGZhCWeCCHNAB+BQ FXwQjYhQmV2wHzpiCVBQB8fAakwhDZv5QNCQCNDIB7JQBqvUDWowDbEACbUwpwhJCXgwD1NTD5gw hkuyF2kGh/UQBVKQYvXwCxz/cF44m7PmtQTc6oEv4k3egAYe9UBetg5tcAJiMa6GOqTm+kDo6glq wAqsMAqjIAY10gAO4AODEAxx8GGLd02kw1SgBjBkgAhSe7ZSS7VzAgAPsAJfYAfOgAxguhDfwA6O 0AfzgAkAcCDLAACwYAaoEEllYA5eAAy0wLIt8ww5gLaMOwqiMA5oJqz1kA6P0AmnsAaUBqPWkA2c 27me+7mgG7qeaw3TMEQIWQu++izrAAco2zJ/hg7BoAMFq7TAyZlZRZPfJgs9MAl/8AeTkAZ0AgAQ 8AStsAYeVE2GF29JmqRZMAa827vQ67tbELwRQASzgAyQIKj1cAnbdwxQkAaQ/yEFnkAHfTALg3AG 65Bv4LAI3YVzumAEzxu9vnsHDwALgvqyTAEPlOAKTFEIceCodcp9vPCNEHQJ0kZioiAJPkIG3YVq NzC7v0mgTNu034auqoCCVKEKAOABaaAKAGoFogMv3tALq/BIYXMN4KCLofZtwLEjrgAASQAGm7Cl FKtFsRAL9SAKZoAHm2AJYNAJYOAGV8AEHBMH2TAPfbCqOIcJB6Ij7mAGKOm0beoNe4DDVBEIYHAq 0xCjCpW+VhEOfEBUWFMPVRwKoHDGaHzGq4MD1wFUQLAOMBKk5UrBLYOujqgbDhYjDEoW3yAHfeAI bbClMfIO4Sm2ANOqAJMLx//AOVghqy3zCioCC1nACiJgCo8wCnQgNYJgCNZABOqgDJWQumQBrPDS DlmgRWkGFolgP9DSCHRwDcPAxR4xGV4Qmd3wCpKHNcAwBb3LB778y3zQuwPws04hDlvQuk7hCS8J FXNMxzhnx0gaZ0q6l1YRCZtZD6ZgC6yADd8wCpuQBpTQDkGADbMQB4PgDbSwBq8gfGSByMgbao78 bbSwQLNgBeXABOwgCKVQB9Y6DkTwC6LgBTrgHA9EygDTDtOBZmzgDneAwdWkB1cQDXOrUOCgBVah DoFgwljDij1iBuIqENkQD9dAFpuwB+Q6wc4MMNCcsntsFXEwY1RhBaSQDFv/sAa4oAfN4Aai4Dx3 6wStkAof3c6YuRTxjHPacCrpgCOPpA7wIA6n0kg4Eg2TtBcGTRYIrUXeIAZ+oD07QgtNIMspuQsJ XQ/hMAiAaF908EPf5g7CunhQIQ5woCa1m9J1bJc4p6Bk0dJMcQaGwBS4UAzFAAmSkAjoWQ/tkAau IAVgwAWUIAttsAfhAy/u/EBFPaa5+jDeUh5VDRVXXR71sAQsgAVYwAakXdqkjQVnwABBwEpAkgtg WQ/oAArtCxEuI3quC4aLt8WlsC5Cqr22q1cr/UAt7RTKYAOroAtR4An1oANOkAtbkAuCAApqIApi gAugYAqGUAw8sNuSPdTl/1HZX7HZTtHZDXEO8zAMt5De6r3ewzANFjQRbZEO8j3f9J0O3oAMdFYP xNDE3iQMV3DWOId/TEENZtBmS3s16eBRv80uuHvXxgkvVzCWMYIM0TiC9QAIB0IMcGAI7sAomCAH 52wKcjBFOZIHxHOQ0eAIUokVwICeOEfeng0kjxJENuAGZnDjOJ7jboAE8OcUltDX3lQNaUDNAY7b 8WIIecBJLdPM8AIPHNAIGSicDc41eC0Q8fAEkdAJnLDlW94JnyALm7AKpfAHVPAHpfAJnvAJsIAJ Zw4LorDmpPAJpMDlXN4JTRDCz0ILOKDldN7nfv7ngB7odN4Ji5AIoJjQSP/mCZXgDfVN397gDleQ qUzxChVDibwABhvSrWBIKXuQCFi35Ci9eFogCWOQy3Q55eP44AQxD3/gB4jw6rD+6n7g6oiAB4DA B7Ie64JJ64Cg67EOBIT2ECDl68Re7MZ+7L6+BkDONTA+ptc0ttaUbmtV2DsyD3jAyOIABmLsp9YA C1gwTj6qWn6NBBakRUwOMNbwyqHQBY614BHS4PFX5d7SffxCiSuOFRnJ7IiOENhADuJADoUjDhJb D+wwlnKRFt6Q788yCyTybfXACPHJFKnQTELiDr1ACICwpeHeoZuABjYgVXtx7l61BkQFDXIgc+CI u0wa1HTo7mXlE4xj2Pv/Di/XMLJAcAWg4AyHAAnFkA2PMAZooAfXcAfyMQmi0As3epAMLzbbgMmn 8g6nIATCUA3GUPVWf/VWXw3F4ApnwAdNCTkQxQ2RQAnj0AVeGPKhPlVrILHzQAmo6/LpQZP6swh5 sAowIu+tJPNT0w3G0Aa7oA1loAy6AAiT0AfqsA6QEA9h4AmaIAkdPgTAtVwNzzWkQAjxOgxvkAZW AEWc3/meTwZykAo+6ZQ9RdZdDx5ZcPYPJPJqz2pOkQ2MMAZOmPff8oZUgQaoMAxyIMYtX18ldsr/ gjpj0A3woO3fsAg+ME5UYQZP0AJjxApbEPlL7/DQspK9CQfJAAkyVw+p/79NrI88aw8tpkAGxAP3 QlEP0OAJ6r/+7N/+7q/+m8AJZZAx2YAFH1YJRNb7z+UNsuAGFyQaAGHlnLY04rpRAqKsXj1s6tRo YfOnnqktC61dxJhRY71ZhOppBBlS5EiSIutlGyEGl8V6Wch9LGmt3jo2ME1aW/PN5sJoVmBZjBlU 6FCiRY2a9IaDkCBCTZ0+hRpVEKUm1xZmi3OrXh96H+u14mPz6FiyZc0arTcIgAQukYBuDEdnW70t tnwR+kOnG7sb0dwc01bEV6shb2Ny9HhWccaTfNDtdCl25MyaJD/mlFxvW59GVhd/Bh0aY71vYBae Rp1adWo67k6T6SQPjP86r2Ali8adG3Q9VwB8WzE8utydePWkedEhjRukHzgC1VvUjOMYWHmCW+54 WzdRwy1fBqWsfTROnaO9cit0JZz47e3b1+u2Jhv7w/DWuJb5y42NnxfrbfKDPvcGJHAjYxAAIAJg 2MvmGv+2Oec0csZZyEGZtHHHwqEQE7DAkrzrUCaa6LusPJlOOxGWMmQJ0UMXi4JPvhZDqsc+/GRS BxuYFsLCkBlfBHKxj3gAwIV3SDTvo23ESe3E6z7MLkgYIwNvRMvI8+qbQAixxat6YqnjRynHZCy+ +ciq8b6ddpxnjEK6EZNMOYVa6BAA5niSxtM6+QnFsjic8zAqD1sHi9X/VItDp4WY0mUMbbyiJpE4 AwUyxjPHSvNG82xhI5Q8KQUVRmIAcCXEhTjJAwxemjmmE0fiKGbS0aIMlcZBP1znC3DW4bVXX3cV A86F2JCmnkqq8QoTSWtl1j8zZZXJRrEWKmWMlZrFFkZySogVvHrmuGKVR6wwRIw+TqnDM0xpzVam Wy2DBwx556WX3ipc++iKaerZg5lkl22XUkuhzXSndAKhpDhoA56znnRMgdNbNpCpx4ofXmEjGHEI gYdgdrMFkc5DVUND0XrA8KQeNtr5d2GGd3sWTWlPHKcSV9R9OWeQsvGmxYXoMCSXPLKYxIxVyKHk SDQ3WcRlAkMWEkuZ/6QxQw2J/FPWaZ3NGlhmNXlCApBPtz7sOqBW+3BHP0dmCTU94fChDWg2geWV XM5RpWc0p2lFa/fqccMcv2WSWiZcmmEsa+7YZrxxxxv/O2ZMpa0HFETOGGXwduuhxxcd/QP9om6G YQYXcuLh5sTxLspmG24+MgfObC4KJ5ddSCftFmu4IceacErnJR09rcDk0LPGrnRkQY5UG/nJCndy NMXBc4eSVY7BPnvtt+e+e++/B7+ZaiKX0et63gFkeVQy0RzbemDRgo8hfEkNFGEWiqWJSRB5ZozH RnYHHMqxkEIwwxLzWEgvBAGEOQzCFpXoQyygYYZ6tEEOgGhEPEZyi/+5rM5Uxouek5yXm3oUAxPH mAUx6sGMZxypG54ABQLrkYtR6EJWJWJPPaZ3GHc84RCLqEQQhThEIhbRiEdE4hEXMQYhjBBNkjtK jeBgjDpoYiG1KBXZ0FKPINyhHr6YRTYsgYVlcGMEakhHPSThiGxkIx1DYEcn6CAIOOkBDq9YTzaa AIZKxKMTongBHmCSDUqUwhqJIAQ39qAIHdTjBtNokGWgpY5b7OIXuHiHNXjRsXqcIxhdsQY4bnGL 4AWpHsewwxO6EApPWAEPGcRCIv4whHYE4wusSEOAogg9kOgQYIfRBh0eN0xiNg4NTsQUFNGSjSio YRWniUUWtQgjaPT/IA12uIYm2NAMMqBjDniwCiCU0AhGYCMN0hCEMrQAC1LEYRU8cMZHjBCIQvyh DajYQX8Wgoji4UEU9ShEItRQDx9EpD+iqQcvEOGFG9wBGpPowyPc8QstIOINtBDHDhLhB0R8LnmL iFUcSKHGPDStHp9oByOaAI5uEANTvNzIDj/0jjW8bosKw4iFsuEOwkUxHnFAZhSVCSN1eIEXLIlm +5hVD27cghvB8MIeEgEEMlQBGo8YaT0QEYdpFOMbbzBGIKzghlLo4Rn1sAM06uGOL4jDHWIIwjHc sAsv3eGflTAFQAkxUCKAAhry0E2DLoGIbGziDdy4AzJiIYR0oMMU/9UAQjeuwY3ZmdIah1BrJaJR D0P4IBVecocjhpCGfe0SM5ORqWVoatOiZIMVePjDAOtBDkQsxB2RAMcqNLWhnwYVLUMtilV2ktRp cocbacjENyJxhVosYhiBUAccBjEfR0jCtmWwxA92kYVMtKIPvFBDl7gRhWaI6wuy4EIvvESJkWoC EtXAwiV2UI8eIEup5jFFI+oRCj1ULhXvmEMZEjEOcsAAtqlIo2UxWw8zqJcP31yIM54BjHi4IxVT eBRaYJq4X860pmKqxzCI8I1DdGIh4OBBIDhxjVaIYgPHiFM9envf0QAXRowhbnE3RA86lEEO5fjG GdLwCnd4Ag1MMv8FKxbCDUAMA6KSwMQ4CDGGHORiIXtwwxzAwYhiKIISTgLFMtZ6hzKQohqPqAch 2EHj0YzCLadoWigsAY5v3OIRX+CFD5yRC3lUtlLWwALFatGHUVghGkS4BCmmsApLiAEYhUiD3m58 WpOkdjKrBbE2tHAGLxhjIepIwh3IEAoxnAIEZ0XLjLfTtc98SZo6FhnzFpLGq8zubKfB1zEcUYo1 fK5tS2aMl17Xtr/RQmzJaEM7yBiILsTDFz9wRhWGAY5fdINMonjMNQyBBn9BIw5uSFk9LOGGPAjO tCaikaX9My1Mw6gaXVjFFTDxDCz8AU/FaIMXgtEGSXNH1bphtZD/cgxrkanNg2s6yaw3cQlQRs9t q1Md4djMmGeY2Bqp+AIesgEPSQShLu8gQg64UIZrSenXXgKhhimd7g5nZD4oOt+HYfSJmnTiD8ZQ BSd6cI1PWOELvRACbVIN1Nv4iWs2RtPACY6pk/tnF5TYgx8UxrZOpKFPbnu4h9pG64Vk0uigekt3 CLZh6QliZMPwgiuMcSJ3yJw737hCGrIgj4V8gwhaSAMz4DAMMdTCtzIm+ka08QecPbF8rVb60mFU jFWksR3R6IWDZjsHVtxiEWAwx+vWwQ1tzAKBu4iGL5ZQBEjUAxrHuEY3dIQOa4ijg1pv3rqbN3Gw k90/n4DENrCx/3veY2MbxJgAAAwQBUisgh5u5042mFEcjMCDHhQ60jfM4dPAMyYdHEgEk45+eIG/ WvHcOYYO0CAId7xhC1tgXz1Q4QaLZGEOochGEKBRCUHsQRkjqMMfYsADRPhCB2ZgBGgYMBioBjw4 qO+bJhyaDF8QATcwgweEQDNwAyRQAN/wjRbIgi24FJP4uhCSPYnrwMP4t9HwhjighSugK4JBOkxJ PAT8EGvIgwVhA10Ig2WYh0qwCkYAjoWgBEmQhFUQBFKQglPgAjHYAm4AsFiorlhBg15Ag1aQAj1A hMdwwQS0PZnABLM7lDgQvhKog1Boh3eIA9YSiWzoBtqgvdEYQf//gIdjSgY4eKYbWsEoasEqvIk8 uB84OIY1kIZ18IPXAQYeoA1c4IJxGIMloIZZaIJQ+AM/0MByUINGWIREOCo3iAZXYIJYCALrSEM7 RKgr9KWRaYU6yAV8SRMy3Ih6OIMzkAQskCGsUw0RioRhSLleWsNoURNwkINB8C1n4T7FqIdAUIRO bJZ6kIUfOIND0IY2WAZ2QIRhm4QvyIMc+CdAAIFrmIc40ANC8IQc4AZIjAREWIUgsAJKyIZmkIFt YIJTIEZP3A1QVDcR8op2oxFucAJkiIcxSIRqQIVi2IVAWIl3sARTuIZtsINnModAoAV4+IJleIdX SJlzgAVOyCT/k7jFgqkHbbgDPIiQ3/rFLYI5XVChdXPHyRCGVqANedCGdDAHWzMOUzgqa9CGdViI djAFXMiGX9idXwgHwQEGTngUbUAWehCektQZBay0lrs05NuIazCCUICGNKgFONiBQoCDU6gEdniE NAAEVbACQBADXxCERgCDV6CDXliERnCEY1CGGRgEpaGRi5wZahkDf/mRgEMLY3AFU0gFwWGGQtCE NauHW/iEXtQxWAy7tZk9lEM51TFMozQ5eFRKk6DHXrIGKtABN9iDbACDZgCFIhCEKMiEWRCDQBiF M3CYbfgERBgDPmCDS3iCRUiDNuiFNPgUwAuOglm3ZZCDONyQ/zn8EETQgU1wBDSoBiGQhULgAtpA AxBAIMiEzuj8E8n0mcrcCG6wgV84jTDAhFCYg2Cwg2SAhl7AgyTIgnoQhlDgAk/4gz1AA1QIgmZQ BVOghje4TblUE/MIh0UgPJ8BTssghLAIByMIhifYhHQYBm9ghzHAAyuSzgeFUPCgTjqxTsbgBjHQ zoUIl3GgAzlYhG1AhTRAA1qYhDlQg2R4BD8YA0LogmIYBDLAAmdQBji4z+objZlhDG8ghEeQrcP4 z8n4AxkwAx/wEWIIgx6QiFdog1nQAVSM0Cd9UqRkuepkSpAQB5vKyJ7RBmhgknowhqOqh2jQEXjI hW9Ih2+Qif9cWDN3iJjJwM/dWjdYuAK18tGP5I6tmgZkCYZZqIdxUAJgQAMhQIMkWBAoNdQo5aVp icde0gYKKqZHhdR68AbTyAwcTUVcKAIHtYwfNQlHQDOe0AFDaIQ4GIUwkIlUmIPCO9RVLUkp7Y5F bcofAAM6oNVatdVbxdVafQNcfQM0yNVfxdUsCAMnyE1L7aVUMLsP4VQasYVi8Y9heIRHQAd2KJZ6 EIdP4ClW1dZWLRyGeASPCsUNEQd6kIdyNddzRdd0lQd6ELFhINd1pQciEIZ3Vdd6NVd64IXPqtT8 NIlOCAtltdPFCbaHe8xtNdiAUcB6aAczoACWwZrJnIxIdRv/OpBHOpg6iaURY90I2wDYDRSSdjzY kCUhx0kUmJiHZBAC7XxYkJVUNJC0hUCD9UAojWUMjt3UgBXZnI3Qj9CGnvXZn9WGd2hUE2EqIlBZ LIRYEvIGl1WbmFUq3TQJm52MZdXZqnVB0hgCB4zArXVAJrgR0rABulpZrVval60Hp51ZfqURqTUJ qrXatyW4emAHN5gsbrDbu73byXIDou2GLWi4cC2QljVbtA0NqF3bf71Zj4XbxfXE8GiclbMG1BmN Xkha3BDcppXZwqXZ0WBbGnFbxgXdnAmPK4HcW1tFli1bzNWaadlc/+jcXvrc0JVdkLGS54FcEmwE MxAGF7nc/3UjXD0Jipe7UbXtpdfdiNid3eQNldG1XXQbjXVYBEsoWExJXd/NXJDgBmfwhsPIBlmg STYk3o1F3KnFWeU1X4St3Zso3XpYhjTwTd6t3hP5XcbIhkGAsbQ5gxzAD8Mt3vFt2/I93wBeqvSl EehZiFQ4A08DWRJkWt+lkJGhh0rgJMbRhDGYhNNoXZkw3jIBYAH24EBhXvUlWnSwgj7IMFOK34WY A3TgBndw4Rd+YWtAhE7YKRi2YbsdhFzAg7DgX/EVELz84CAGOwK2TMxYiHEAAi2Y3uNJ4XqQgznQ 2q19QDcoAxOAYimGQDdwgyZwBm7wiR6uWf+lEW8QpgUW4v8zxpXKaN6FeIYugAPpJZPevdF3oOM6 tuN34AY8CAVvuOM+Tp16KAc56JLwDeMfDgcdeE40VuQ4JuKNwIk0GoUuAAdY0K84jl/ZYxx2kART ZBvDAQN6gAM4ZQxV4EXGoQcQaAASGKlFZuVKaWTGsAYyiAeoexRUqOQxkeMNqYdX4FM60YU3UANV ZQxYCANZ2IRjRuZklgUjsMAiUNxWhmaAe+XxGAIsYMeFsGUz3ohL3pB12GRTGYcc2IGeohFRwIFE QOd0Vmd03gALTAInjeZ4Ltxp9o9vkAJqYIlstuQGRgtD8BRgqoNNsAJRHg1VGEbGQYYKAAALeIUl lueHphH/er4IbqDFHdFnXF5aiWWIOpBHtEGEvIoDgnZdMe4lZGCCYNBmiP7gEObAnbhok7sGIrCC LqDpmrbpm8bpOkgBIjgDnKZpOLCyWBAkbyBkziVpRyYDh1bppfYPiaaRlza5eYCGqabqqrZqqraF XJgGaLAFaiACZLCFq7aFMQAFYqCDnsngrzhqDn5mpnZr7nDqXoJqk4PURzkNRohD1RiFLIAE2Urr Da6xDn7rwY5oNd6Quc4ZU8ADPJAhdLgCON3lElBZMDbqH0Zewl5qlgYPxEZYdOgBdUgEfeUsdqTf Rugb8BVpDV7rwG5rzHbtjYjrjeDszfGGLLACLtgsmSjA/wTLKXSj7JG2bMF+bcLW7MOYbZBZUF14 hM/yjwzppZ3469X2xdYe7tcu7g85bvdRBvb7hF/qkN9W7eCm7urG7Ose2B1hhVtW6gGpkUd4gzjw NO6IbvFOafKGW/M2D+GSCVSwg9hjmIXYBeaT76IG7o6tb/u2WvyWCWEYAz2oBBv6BDggg3DrBUIY b7DrRfBWa/pGcPtW8HrQAzUYBlIggmWYAnmYBjF4CTIogWQ4cPaebwPvcPL+cLHqBmnAAWMYgjpI hnGwBmaABFGorRd/jxhPXCKf8YP98ExgAeRQhdmygy/wAnUohCJ4hSR4vcY1cvK98CSH6A8fhC7o mXogBv+PqAcb4IQ4yD8d0FQtJ3CZ8ASxkXEvd+0PtwOT0gz3i4Mgp9F6CIYt6Bh3/O2FkAPplonL pnMh/nBsSGSH2QRSgIduYJmTkIfUjtvNna0zsAMB53IkT/RVVfCIw+Rb83QS0tiFmAY2yJzvRvRP 9+BQN1j+XYhQcAM6BY9Wd/UAhvVtNdx6uIZAyIP18E/hzvVF3nVt1c0EygFaEOYjL3a3PnZWxcgS ggJK+Dtcf/bkjXZQpxxLeIQxyByP7HJJKvVsf5ltP9Q0WatIOAN1QIVYiLHL7qQ96EhzZ1x0N9Qa YYNqiN6FeAX2EXeCcYckCAOtsPfFxXco9XUjeAM+vSL/gIcReU8HMlgFMrChcj/4Wkn4KO0GPGOJ WoB47pB3+6iHeZADRhj3jI/S2N7WydoJkI93Ys9YNXkHPriDeld5JWd5XmcMmA94rzHFTsgCK8P4 nMflnRfZevD5iJf5XppLP4+Dwix6o3dlw55dpQ/532z6jbDUejCHPpgEcqZ6hUf6kMX6mE/5md+t GkGERBigsc/3slfypRf5rWeMU68HTyCj9Yb7o5T7WKd7rU97pyfkhWjfZ+p7CN14ZA98Ohl5tYU5 hkiDS5j6xCehv+f1xr91ux/erzU9uKwHTkhWy4fOxbfDJgmhtRFGxmTMDzx0zkdtmHiHO6CBeg99 Qyd9/8UzfTs8h3mY9HoIB154uXd4YJkghf7IhnJAh5dYCHSwLxkT9tcffK7PzzClhCUAV8DO/e/b fQQseSFIhDOAA28QBTRwBDMgh3ZYhDzgBIMroYbCgkrghlMgg0Ngg3eQhUOog0s4kW8oY40GiHp0 3NWzZq3eNx3tCh5sxYehwYgSJ1KsaPEixowaN3Ls6PEjyJAiR5K0WG8dG4glV7JcWS/aDmvZypRq oqqeK2d77JiDM65gPaCYytRzB4TaE2T17EybNI2eFnVB0/FABMgP1qxat3IFJOjGNYb1tjUxJ9ah ypZq17Jt6/YtXJInU8at27beMCihWEWxVQpHnmP1IP8tq0epWNDE9XopIeUKB7tXRvooAzqoCzeg yFpx7uz5M2jOnEblyGyw3jgm884+tOv6NezYst3OTTv79sV6uzbAqSOLWzhytHCgolxPEmJmaax8 q+drQ5c8wOCNA/eKiah6r9SEg6j4O/jwisEQjAivU7eI9dDibu/+Pfy3tePTrzetSWJxZaTV25No 0ivkrLHNWM344k09oniR2DpD0FNPFoxcYoQ82mSjVj3wrFHeaUGpxx59IYo4IokHoWRbia/VU40V YR30TA45YBFPPHDcYApQigVziIf1dKJDEJSok4YPakjiIksZbojiaSCm+CSUUd51opSyXSNRPdrM c1D/hgRadKV66ixUVDfxpIehhhxWtF5rVbr5JpwZzRdnXB5iaaedFOXZYY57upQmkw21SSehhUY5 p6GJ/rlkbk7K1ad43yk6KaWnUVkpph0pqaaejopUDzjV1IMNMNd4A802n3gCSznlePIqKQtlOiuc iNJ660SbBspmoJr2QkY9kaQwzjBZILMELYJwAcwlbejgijy94jqte7ZSe6uujQ6aq58mhSOEOHzo QAosg0izRVCI3FEPKIp0ey287VkbL6bZmsTJthFlw8uY76pXzx2qJFKKHnLIAg26CaZRzymDSEsv xHXNG7Gi9q7picPitXHBFoMwk1huqRARiYZobJPM/xxBKSIJww5T/HJsE8NMqMXcQhHGLDnrPMsq qyABANAHpJAHL0w6l0Ay9YhgRD3KeGBKIG/kUk8mgDw8M9ZyXZq1oTXnikYUlIg99tgtAA1AAj7g kUtu3RRSToKdoFbHGIsUXU8xwlzN9Xv+kigz31V6jSUm7orXRQpgbLJanpHmyCXIXK4ZeIjdOX45 5plHuubWlNcKqLZMoiNV5BGJUw488YjjzZVi/Zurnnt7XmccYdRxBu6567477737/jvvVyyiDed0 zf45oyZ5+rqe2bhyiA5nXKLILuBBfifIQeGi1PHyZsFKMsiIPz755Zt/Pvrpl58MIWZwU7zs3cM3 +P+H+XJ0zjBqTCPOKMboEksnpAEIAq3iD+xQDCwA8YuT1cMY48hCFOIRP/m1pB5yiJbmMqhB8Mzi DygCHAVFRL8m2W8j9ejGGOD2hVYU4Q9NOIMcFtEJOZzCEeIICiy+EIg6DAIM9WjEMvLQhBuGMGZW +FhuHucnlSxxb/WAxbrgV8RDgU55JdRIPeJhBXLU4w2gQFclVDGPNnRBB4tAQh6wQAs/AKMegCDC I+oRC2Zcwg9+myJb6nHEQGEjHdY4xzms8Q5sHKRDkhPHO1w3Dm8U8jQmgeIHO4fHEY1QUBM8TTmy YJYysAJdXWgFM8TwCErYQg+Y6AQ0AOGKeizCDSn/YcM0FLGIO06ygntcUz34kJ055KEemoiEYnwB C8VIAhPfScQBw6MnSEqxln+r4pqWZ0JxSAIbetzEGOqBiE9Uow7DWAMZ/kCQeshjC2IABDrm8IY2 VCMYPaieM+t0yzU1Ig/eiNE5HEGKS5AhE9dIAxWKkYgzYGMMP7ACNKxxhzGoYRzkgMMVyvEOSBxC FYzEEjP1JMl4zg+anbqiRrKRjgul4xp+9AY3TFoPcQwjG2L5RjVcqo1fpLQe5CAeR+UzTz1BQxCl sIQcPDEHahACGFy4BSLMYAVN2EEVkIgDKPowiDHAQiFdaIQr9lCJO2iiB83BaBQ1arycxsdie+LV /0jE0qPsnXWtkiLrXXaaK3hIog2z+IQV+lAOSsghDbxgxRkqkUhvkKEVAKtD9SKhjFnWowk6SOQd vKSejOYqHL28JFzvUsV6cAMe3pFmZimlRySaxAwdSAcvDMAJU7RBHjlYhiXIAAZi9EITdLiENxBR CUWsgwvVoAMxpkEHMoSCGG346mTDeqdnwMATtAxtnUB3EkkcojIkxCx0DyXXXJXiDEXxgjPMsQhC LGIaw3CDKbbAhWK4ohnuUMUu1tAGR7xjGUPQ3y3OAAYtdIOJpABmeIgxAABgIGMbPDCCE6zgBTOY wdaIwzjrAYdYSGMMibRkdmc12l65VTzZcKlbEf90kA/L0Q6MgIRp1KMLF5gBDS5+MRpQcLYFxAHG Nr4xjnOs4x3zuMc+/jGQgyxkIZvBByDOBhmMUY9DrAMooM1woTaMETzVwxvJIIYvmhENXqRDUo4L xylMAbdcrSIJ1WgHmtNsjjCc7QjgMEea4yznOdO5zna+M57zrOc987nPfs6zOeSRhnFmgw7DqIcj HoRhKFdKyhzJ0iUqgQRAwKIQ58jcv7pVD1IY+DvgSIIFWLCKBpO61KY+NarBww0IJyYOmMAGHS59 EHxhl9F/266c6kGPLnDWENKABBokYYgyyMOyWriFLH5QC1o+UblYUkcyzGLrmEk3F2HoASvEsgn/ q017Uo7WlDG6kA113CAUSmCGEUxhCEjkARGeYIMb2tCORuaGstyqdbdBYq96tAOeBymHD7id767h Wk7hvsY3vhAKH2rBGLOggxneQAY1hGHZJrT3wHFjVsVA4wy02EbGCU5aTd0CDddQRw9OsaAgFKMV WRBEIIRhCjLg4WrNxnfIF8UpLrHCDbh4bs5L9O1Hh4MTItWELUZRj1SQoxisOgQbljGLZtgc40GH Tc3qkY5A7OEnV6dZwXMNOUhZ7+LO/rqKoFmPeVjBFfRG+5uGjqEJ3hzu1GZUUGoiC6DbXYRyQEeq F9yMs/cdLroKSihi4K7Cx6keaUDEKFgh+clT/77ylp88KPZy+c1vfhRyqDnj7aIrbURCD35ABc5D LxtiRMIQrn897GMv+9frwRGO0MPsc597PdAhEKlX/ZQ1VI9fXCEVS8/E74HvGlP3Qumk9qPy5ZMO MhAjDcaU4yqjH6+ggEEEfE+i9mmTDijAoclBiUX2w0+temSDAwbojvr/Jg4xSPA06E9+/IX+CwQo wLD5J5GI2V/6/Z+GxYICAIAVfB8Bih6W3N8CZkpQCAHQgMDOPWBZOaAFilY5yBgATMA04F8GJgkG hqCiwIMwvFwwBBIJ1scIrmCULUV2gKALplULziCd5JL/2WDf1KAOxh0f5GAPahwPBqGU4KAMEv/h lHnHECLhkxghE4qeYhyEK1yCWj0hlDihFcqHLQBCLOiBkgUDFoxBNASFMKDeEWahS/zgGaJhPRBC GfiCIYhBMQCBX3zBpZlBC/zCGqJhWqkhH96FIAhCA+0ANERBLZjDPFwDL0BCLSzeH9aHHz4ihkyC CbyBD1hCPeTCGfRAHnBDI/yAKURBl0miiiiRYuBBtm0OKXoEwHRBO1zaM5wC+1XBMZiBGdQBE3zC Hq5iRIzDN1iDOHCRN7QDPRRDMajCNJDDNPACMzAD/hTDNBgDL2rKHuxBYlQDF0BCJVhBKKBBUHBC G6TYNN6FKyzbGXxBPawCFgiBJPTBHISDL+DVwQ7MQSZYgg3owR1g4i6S4Ioc2mm0gx0EQjyQw6HV wzsIgzuMo3xswhxcAxjswC8owh0EQTlYgx1kU3+QAtWAwYeBiUKKXaZFjgJ+pKa0gyMUTiKMwhpg Qg5IED00wUHcQXawQgdEwh3MwkiSpE4unzUAwhukQjFgQR1UgxBoQz1AQxscBB7sHS20wS4Yg2Tt pFRCYg3cFAn8wTf4QDHIgxxoQlAsZT1cwhrEwziEw/tMJVr2zTEcgUtJASPUgwM8QAbUQWKAZSdM gAY8wAfUX1qGYEAAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0021.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
10 ms
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lWe wa= nt to wait 10 ms.
lSince= the instruction cycle of the chip is 200ns, this amounts to 50,= 000 instructions.
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>l50000= =3D c350 hex
lIf we= set the prescaler to divide by 256, then when the timer reaches c4 hex w= e have waited just over 10ms
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0022.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
InitTimer
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lSet t= he option register
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lSet t= he timer register.
lPr= oblem the option register is register 81hex, we can only address registers 0 to 7Fhex with normal instructions
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0023.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Register banks
lProcessor has two banks of registers, bank0 and bank1. lBank0 = for normal use, bank1 contains special control registers. lWhich= one you access is controlled by the status register
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0024.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
status reg ( reg 3)
<= span style=3D'font-size:87%'>lC =3Dcarry flag= 3;
<= span style=3D'font-size:87%'>lz =3D zero flag= 3;
<= span style=3D'font-size:87%'>lPD,TO give cause of timeout interrupts
<= span style=3D'font-size:87%'>lRP0  selects the register bank
lto select bank 1 use instruction
lBSF 3,5  ; = set bit 5 of reg 3
lto select bank 0 use instruction
lBCF 3,5  ; = clear bit 5 of reg 3
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0024_image201.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAYIAAAA4CAIAAAB/vmh5AAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO wwAADsMBx2+oZAAACvlJREFUeF7tnTmIFUsUhp33IhcQN8QFRcRlwBUUXIJnooliIogmIhPoMxJc ApfAQCPFRHAZRQUDDdRAfYmJjoEKo6goqIGIIjjiBu5g4Pv0zCvrVd+u27e7+nb33FNB00stf/3n 9KlTVbfPbfvx40c/TcqAMqAMFMfAH8U1rS0rA8qAMvCTATVDqgfKgDJQMANqhgoWgDavDCgDaoZU B5QBZaBgBtQMFSwAbV4ZUAb+3LVrV6MsvH37dvPmzf/8l27cuPHt27fJkydLPfv27fv+/fvRo0fN Tcnf1tYmeeRyxowZgwcPNk1z8+DBg6dOnTLZGkWVJb+/R9Gau7q6bt68OW3atCyNhipbFfB1caI5 CxcunDt3rq0nfrUJxWGSevJQ+yTtBslTcvBpvKHPnz8fPnx46NChf/1KQ4YMWbZsWWdnJ3x9+fJl 69atEyZM4Pz69evCIG8s+U+cOGFfDh8+3PBLqfXr179//5569uzZI1U1M3l6FIVx+/btRYsWvXjx opkIPW1VBbwfp2jO9u3bk6tNk/kPrvbNxF928PxuqNH07NkzGLx69aopeOTIkfnz53PJTTm5dOkS eSTD378Sl3DB5d69e7m0G6WUeWqfNwosdX5Pj5w6paegpRepmwtbsCrg/ThFcxpSG2i8devWw4cP hU/OSWG5tWsLrvYK3tCbxhtyrDj+3p07d2bOnMn9x48fr127lpOJEydyfP78OaMcrtDGjRu57O7u 5nj+/Hl8KLsSSq1YsWLAgAHcFE+Kgs0cKzw9ch6NGTPm4sWLYlXLmWxxlBm8g1M0pyG1oXc9PT3t 7e1oy6NHj+bMmfPp06emCSW72iv438JKMXrIsGAnxjFuUhXWxIxI4jFxKW4R/jYexJs3b7g0I5i0 bvtHeExSMAWw1EU8PapZJ2aobN5QTXGUCryfZKM5ydVGeodeIQ6Kc5JaAZIUDK72Ct7Q3jtvSiIG k0fkcfr0aYwFb6MxK2JiZOZl7A6zGNEP/G2slcy5uOS+TNY4sc1Q1PVtCFu6zHE9cnCayktohqLi KBt4D8m25shwFac20U7JuIVqGcVLpwN1SwVXe1pU8EJ7+knZqFGjmFtt2bIFveno6MBHZSma91Pm VqQFCxZw5+TJk5xwOW/ePPbUzpw5Q34up0yZIivcnIwdO/b169dSikkcx0I2oaI9cnA2zeFP0VBV wNfEaWuOX22iEsGz5iaq1ZyJfEC1l/Fbwf/U9rqDQDSD47Bg0RmLMC4kXB7HaaIJxjq5iefMpZ1H 7kuFMlNjGCRbClRZisT1KK7OEnpDZhprxFE28B6Sbc0xc5+6aiPeBLqHzsjWQa4OUXC1V/BGRQOY IeqSDQ6SrBCZhGbIxpkkdCWax34km1DOylEW+5KwbHQmKD2K23kpsxky4igbeA/JjlYkVxvslwxa vNJ5Lw/F4U+t9go+kxkS/8V5w7kT1XsGNDOmia7Yl9EaGNJzHdA8Vqlmj+LA0IuicNbsQlXAx+F0 Bp7kamMLwq9dCQckf7awaq/gDdttnKVYidAiyoAyoAyEYiD9EnUoBFqPMqAMtDgDaoZaXAG0+8pA 8Qz0miE+ICweS1oECj4tc1nLKfNZGcxQvtLkO/2usPXJIEEtqgwoAwUzYK9Kt718+RI4/CirumvV DAsKvhCdUuYLoV0a7Uvk69pQryIh1BSpQC20m06BvC+59CWRgsJIzYCaoV7q0v2uJDXvYQtWGnxY KrS2KjKgZqiKUlPMykCfYiDA2hAfte7cudOwQlRGvk5cunQpd5xHRJNZuXLluHHj5BHxGJ88eULE RcmcOoWaJO/YsePdu3cOjG3btgGYiIuESbpy5QphlbIDduZTQRa24qi27yOa8ePH89HDsGHDUrOd B3i7Tqg+duyYA+/QoUNBAAcHX5deW3NWrVrlRNrK0qlQau9gyA+wh/wA3pAnvqQ8onkMEAmjw2sg sdCKjfpaU/xgE5w2ZnISdJuQWpxgp2bPnk2YWk6yKFAeZeOotu8Trvfy5cuE323Ox+ipuylSMLK4 d+9e6qryLuinVzQH2lEYukPsYCJM5A0pS/2FAWanTDbL0q0vyPdlFK8ZEzb6iJwSGYcT+Swre9TX LOBr9poKTRgACYUTjRwQjROQjsBQ4OOojt6XME/p0DqlQoGPA4Oq0ET0S67ygPfQG9Uc6U6orxGD k583YFtqDvgA3pBjfT1BSCWWEKlsUV89AwihcHhqTxuZo0lgiizDTt5lDdXRhpga4O55MuSNLWH9 zA5Wr17NKCWz+KokQ29Uc3iEDTIBucrWowIBBzNDOJyybYzPjxfNkophGUeU/34hrVmzhhgOixcv /vDhw4gRIySD/EXHq1evyiYVwfPx40cJP2Kn6dOnl3OmEKU6jlUZ+kqbmDYyncF9CLiY0szOQm9N zSmtDYpT9eYADmaG7CCkx48frzmCLVmyhHjyzvpoyd8HxENkP0eDMaOjR49uplo32lZNqqWSQYMG cRw4cGCjdTYtP57apk2bcDlxH5rWaKiGbHqjmlNyJ7QowMHMUM3gniJalIlYsaR169aJDSpJ1Nck mke/yMYfP9iZWeglpm2S4k3OE6U6CoD9Pvy7UJtleXSQTQCqLeE+QJLOGnonTZpEfns3gGkm1r+0 +wNFAg6+RG0HIY2Lbx826ivCDrJm+TsW3P/XpOWPH2QNHt9NYmmHWjcNBd5PNb4qGUiypFW29XVb fBKikxB6AlhSWPlKbUGYF9rj6EVtUB4J6saRy4ABjoPgd4jNFbBnibpfcDNEYyaCaty7QR7RtiBR X4PLw3lRMaxieiSBOWCY2lDg/WbIHsZ5Z0K91aHA23iiK3EBjb7nTUjHidAeRy+DlsRfl8S5J/po owDyID9XwB7yA/x8ETrwM53FIO6w9sz6VvSRkQqPnj59yn+WZ1wGC/47Ljb7+vfv76Di5oMHD0aO HDl16lRH87JcBgQfR7WZBTAjCDsXCwjecAjP8rc5dspjsywU+Lr0ip7zP6BhexEKf1R7cwJsN+SA D2OGsryH2cvmJ4/s2OrWoODrUpRThkozDyeVxu+AD7ZEnZOuaLXKgDLQ5xlQM9TnRawdVAbKzoBG Xyy7hBSfMtAnGbC/6O6NW9iX5pnVkpkyX5S8Ks181deGHKFXOHxqUeqr7SoDykBYBnRtKCyfWpsy oAw0zICaoYYp0wLKgDIQlgE1Q2H51NqUgT7IAF/DbdiwwekYv3KUmzyNfrLLz1AJqkEGQqnVZUTN UF2KNIMy0OoM9PT0SEjSaMLcEJLFiZPRaHhVXaJudQ3T/isDdRnAoyEEO59S3r17d9asWfI9E7am u7ubj1KJTseHisuXLzffP3V1dRGATGK82edxDak3VFcEmkEZUAZ+MtDR0XH//n2OnZ2dXGKDsDVn z57lnKPtEDUaXlXNkGqYMqAMJGKA2J6Egjpw4AD/Z8FcTMrs379fjvaHu42GV1UzlEgAmkkZaHEG CMAihqa9vZ0j0SYSEpIkvKqaoYRkajZloKUZcOLDEvHGQ0ej4VXVDLW0bmnnlYHkDEgo5AsXLnB0 Yic5G/b8Yeq5c+ck/7Vr1+r+Q6eaoeRS0JzKQEszwHSMD/HYF2PLzGyKEd2Q+RqP+PWQYQcjRXhV bmKPWEjavXu3nzjdsG9pxdLOKwNJGMDZ+fr1KyFJ2R2zw6WyUE08T9m5j4ZRTR5eVc1QEiloHmVA GciRAZ2U5UiuVq0MKANJGFAzlIQlzaMMKAM5MvAvV0rW1BbhZxcAAAAASUVORK5CYIJ= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0024_image202.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/wAALCAA6AYwBAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APW9M/5BVp/1wT/0EVar KufE2i2d1NbXF+kcsGPNBViI8jPJxgcc1Yl1nToJY4pLyMPKqsgBzuDHCnjsSKU6tp4uLq3N3GJb NBJcJnmNSMgn8BT31GzisFv3uEW2ZQ6yHoQemPrTrS8tr+3W4tZllibgMvr3HsanooorJn8UaJa3 M1vPqEaSQNtlyrYjOM8nGBwRWqrK6hlIZSMgg5BFQ215bXnmfZp0mETmNyhztYdR9anoooorLu/E ujWN3La3N8kc0IBlXax2AjIyQMDitGKWOeJJYnWSNwGVlOQwPcGo4Ly2uZZooJ0ke3bZKFOdjdcH 3qeiiis+/wBc0zTLiO3vLoRzSKXRNrMSoOCcAGkl1/SYdIOrPfRfYlODMuWGc4xgc5zxjrUx1SxF zbWxuoxNdoZIEzzIoGSR+dS2t5b3sbSWsyyojtGzL0DKcEfgamoooqpqOqWOkwpNfXCwpI4jQkE7 mPYAfQ1DDr+kz201xHfRGOAgSk5BQnpkHkZyKc+uaZHaJdSXsaQySiFXbIy5ONv1zVmO8t5rqa1j mVp4AplQdU3Zxn64NTUUUVV1DUrPSrb7TfTrBFuCbmBOWJwBxUEHiDSbmG4livoytsm+bdlTGvqQ eccU6TXNLisnvZL2NLeOTy3kbICtnGPzIqwt7bPeNZrMpuEjEjRjqFJIB/Q1PRRXP69/x/J/1yH8 zWtpn/IKtP8Argn/AKCKtV59eaVr1xq3imbSr57YGaPNu1sjC4XyV3bWYcHGQMcZqS306HWPDGpX FpDLHCsUUdmrxkSqsOG2kHnJcN+dZc1lqE1laatBaTpdeJGe3udyndbo7Bk3D0GCOema6bXo723i tJbu3hOm2N7FIBArMyxqcBmHtwePSrPhJS51e+QFbW9v2lt8qV3LsVS2DyMlTXRUUUV5zcaVrs0v iiewvJIYTfkyWhtkJuI/LTdsZhnJGQO2a67ThPPbW0dtFENHe2VUD7lmC7cAEHpUHhOyj0+PU7eC 3+zwi/kKIFwMccit+iiiiuAv9L1651/xRNpF81oT5GImtldbj90MgMw4OMjjvXQaF57aZp6afAke l+QFKzhhOvYjHrmm+GLCLTtR1uK3tvIha6VlAXAb5Bk+9dDRRRXHa9p+p3vjq1OmXr2Ei6XIPtH2 dZVJ8xcKdwwPX1qro9oby3stGuoZPPF1NPqfmxEB5FGNy4GMFmQjHasm3tL6DS5dZNncyXXht47S 2jZSGlSLcrso77lk/HaK6/SLPUtM0LT7dRbtGId948gYOWPLEAd+TWf4Pe/OqzjUmvTGYz/Z3nZw 0G7q/wD00+vOMV2VFFcv43tbq7/sOO0keGT+1EPnJEJPK+R/mIIIx9ax7axvh4hk0vVHe8vbm4jk e5MASKW1T5gBtGAwbGc1FeeH5dR17VNFeGY2sXm6hG5BCPJKBtUH1VhmtXwq2t3Omvq5t4Yp9RnM k8dyrK0aKAoUY9wx/GmeG5L4+JJftbXp08iT+yzKSQy7vn3+4P3c/wANdnRRXM+PLee60aziti6y nUrYh0j3mP5x82OnHvxWHLYahFr8umarO9/dagY44Lr7OEjNqGDSIwUYDcHr1yMVJqWhNqPiy70G WGb+zr3OoSSBf3e7y/J2Z9c4b8Ks+FBrtxa3OqG2iju5ZVt2S6DDakShDj1ywY596NJkvj4vbz3v TpJkk+xFt2DL/GG77Bzszx1rtaK5/Xv+P5P+uQ/mazPD3iXxBe2LpH4aR1tXEGRfIOiKecjr81av 9reI/wDoVh/4MI/8KP7X8R/9CsP/AAYR/wCFH9r+I/8AoVh/4MI/8KP7X8R/9CsP/BhH/hR/a/iP /oVh/wCDCP8Awo/tbxH/ANCsP/BhH/hR/a3iP/oVh/4MI/8ACqdp4l8RXN/f2o8MITaSIhAv0BG5 Fbnjnr2q5/a3iP8A6FYf+DCP/Cj+1vEf/QrD/wAGEf8AhWXd+PbixuXtrrSIopo+GQ3oJHGey1D/ AMLI/wCobD/4Gf8A2FXNP8YajqxkGn6FHcGLG8LfKMZ6dVHpV7+1vEf/AEKw/wDBhH/hR/a3iP8A 6FYf+DCP/CqcHiXxFNq13YjwwmbaOJyBfpkb93U4x/DVz+1vEf8A0Kw/8GEf+FH9reI/+hWH/gwj /wAKzb3x1dadcm2vdGjhmUAlDegkZ6dFNV/+Fkf9Q2H/AMDP/sKt6f40vtVlaKw0OO4dF3Mq3yjA 9eVFX/7W8R/9CsP/AAYR/wCFH9reI/8AoVh/4MI/8Kpr4l8RNrUmnf8ACMJuS2WbH29M4LMvXGMf LVz+1vEf/QrD/wAGEf8AhR/a/iP/AKFYf+DCP/Cj+1/Ef/QrD/wYR/4Uf2v4j/6FYf8Agwj/AMKP 7X8R/wDQrD/wYR/4Uf2v4j/6FYf+DCP/AAo/tbxH/wBCsP8AwYR/4VTbxL4iXWYtP/4RhNz27zY+ 3pnCsq9cYx81XP7W8R/9CsP/AAYR/wCFH9r+I/8AoVh/4MI/8KP7X8R/9CsP/BhH/hR/a/iP/oVh /wCDCP8Awo/tfxH/ANCsP/BhH/hR/a/iP/oVh/4MI/8ACj+1vEf/AEKw/wDBhH/hVLUPEviKxezU +GEH2m5WAbr9DklWPGB1471d/tbxH/0Kw/8ABhH/AIUf2v4j/wChWH/gwj/wo/tfxH/0Kw/8GEf+ FH9r+I/+hWH/AIMI/wDCj+1/Ef8A0Kw/8GEf+FH9r+I/+hWH/gwj/wAKP7W8R/8AQrD/AMGEf+FR yeIdXtJLc3/h028E1xHAZVvUfaXYKDgDnk07Xv8Aj+T/AK5j+Zqt4D/48NS/6/z/AOioq6ms7xDd TWPhvUru3fZNBaySRt6MFJBrk/DuvX17rGn2tveahcM0Pm3sV9a+WqoRwyEgfxdMZzVTRNf1q6tL C7g1C6vbqW5IubZ7cCJYgzBiG7YAHNbGmazqYvNFnurkSx6y8yNCFwIdoZlK9+i4OfWuworD0X/k ZPEf/XzD/wCiErcorl/Gh1Gzs47+x1We2zPBAYlVSuHkCk898N+lNiTVLzXLjRF1maKKwgjkkmVV 82ZpC2M9goC+nOfatPw9fXd1Fd2t86yT2Ny0BlQYEgGCrY7HBGfeteisLTf+Rz1z/r3tP/atbtFc p4v1O4s9Y0W0jv57KC6M3mvBHvY7VBUfqay4dR8QXd1pVjLfT20V1e3CR3HkhZJoUQFCQenOfrXR aBe3p1PVNIvZ/tT2DRsk+wKWRwSAQO4wee+a3aKwo/8Akf7j/sFRf+jZK3aKK5B4tXn8UxWen63d PDbyCW+Z0Uxop5EQ/wBo/oPqK6+iisOX/kfbX/sFzf8Ao2Ktyiub8RT3z+INE020v5LOO788ytGo JOxQQOfrWbNr2rWklxohu0ku11CC1jvXjAwkq7s7ehYAEe9dBptnqNhfyRXGqC9tHj3IswAmR888 jgr/ACrWorC8T/6/Qv8AsKx/+gSVu0UVy6Pquv6jqottVbT49Pn+zwJGgbc4RWLPnqMt09B710Ni 07WMDXTwvOUHmNDnYW7lc9qnorD8V/8AHhY/9hOz/wDRyVHr3/H8n/XMfzNYPgvxToFpZagtxrNl EXvSyh5gMjyoxn8wfyro/wDhM/DH/QesP+/61X1DxN4T1LTrmwn1+yEVzE0TlbhQQGGDj86qyat4 OeTTpF8Q2scum4EUi3KgsuMFW9QePyqXSNe8IaLpcWnW3iCzaGLdt8y5Unkkn+dUtPuvBunX6XKe J4ZUg3m2glukKW+/723v3I5J4NbX/CZ+GP8AoPWH/f8AWj/hM/DH/QesP+/61j6T4s8Ox+INelfW rJUluITGxmXDAQoDj8QRWx/wmfhj/oPWH/f9aP8AhM/DH/QesP8Av+tU9V1/wjrFmtrc+ILMIssc oKXKg7kYMPwyBVXVNT8KahdreweK4dPuwuxp7W5QF07KwYEHGTirWl6/4P0iz+zW2vWZBYu7yXQZ 5GJyWY9yTVz/AITPwx/0HrD/AL/rR/wmfhj/AKD1h/3/AFrHsPFnh1PFusTtrVkIpILUI5mXDEeZ nH0yPzrY/wCEz8Mf9B6w/wC/60f8Jn4Y/wCg9Yf9/wBap3OveEbrVLLUZPEFmJrESCMLcrtO8AHP 5VW1vU/CmtTWc58VxWc9kzNFLbXSBhuGDnII6VNpOteENHilEXiK1nlnfzJp57pWkkb1J4HA7AVf /wCEz8Mf9B6w/wC/60f8Jn4Y/wCg9Yf9/wBaxo/Fnh0eN57g61ZeUdNiQP5y4LCSQkZ9cEVs/wDC Z+GP+g9Yf9/1o/4TPwx/0HrD/v8ArQfGfhgjH9v2H/f9a5zT28M6ZIDbePpxGZjM8TXkRV2Jycnb k5+tdH/wmfhj/oPWH/f9aP8AhM/DH/QesP8Av+tH/CZ+GP8AoPWH/f8AWseTxZ4dPjW2uBrdj5S6 bMhfzlwGMkZAz64B/Ktj/hM/DH/QesP+/wCtH/CZ+GP+g9Yf9/1rJ1rUvCms3Nndf8JZFZXFkX8q W2uowfmABByD6VGs/gcaZJZv4hgkeWYTvdPdqZjKOj7uxHbjHtUmlan4T027kvZvFcN/eOnl/aLq 5QsqZztAUAAZ5rW/4TPwx/0HrD/v+tH/AAmfhj/oPWH/AH/WsbxF4s8PTzaMYtasnEWpxu+2ZTtU I/J9uRWz/wAJn4Y/6D1h/wB/1o/4TPwx/wBB6w/7/rR/wmfhj/oPWH/f9axL+78J3l5NcweL1sDc 4+0pa3carNgYycg4OOMjFatn4n8I2FnDZ2utadFBAgSNBOuFA/Gpv+Ez8Mf9B6w/7/rR/wAJn4Y/ 6D1h/wB/1rM17xJompxWFrY6raXM7alaERxShmIEyk8D2q9r3/H8n/XMfzNaWkW8EWl25jhjQyRI 7lVA3NtAyfU8D8qu7V/uj8qNq/3R+VG1f7o/Kjav90flRtX+6Pyo2r/dH5UbV/uj8qYlvBHJJIkM avKQXYKAXIGBk9+ABT9q/wB0flRtX+6Pyo2r/dH5UbV/uj8qNq/3R+VG1f7o/Kjav90flTFt4Eme ZYY1kkADuFGWAzjJ74yfzp+1f7o/Kjav90flRtX+6Pyo2r/dH5UbV/uj8qNq/wB0flRtX+6Pypn2 eATmcQx+aVCGTaNxUHIGfTJNP2r/AHR+VG1f7o/Kjav90flRtX+6Pyo2r/dH5UbV/uj8qNq/3R+V MNvAZxOYY/NClBJtG4KSCRn0yB+VP2r/AHR+VG1f7o/Kjav90flRtX+6Pyo2r/dH5UbV/uj8qNq/ 3R+VMkt4JihlhjcxtvTcoO1vUeh5NP2r/dH5UbV/uj8qNq/3R+VG1f7o/KsabxLp1p4gl0i7eO3d IEmWSRgA+4kYH0x+tMk8UafD4ki0KXYLidiIyrg9EDfMO2c4HrT9V15dOvDawWL3UsUBuZgpC7Iw cZ56n2rTs7mC+s4Ly3IaKeNZI2xjKkZH86xte/4/k/65D+ZrW0z/AJBVp/1wT/0EVaoooooooooo ooooooooooooooooooooooooooooooooorkNf0TWbnWtRlsrK0nt9SsFszLLNtaA5bLY2ncMN0yO lQWnhTU9P8Vwamiw3EEciqS0mH2eQsZbp1BXp71p63puq/2nNd6Zbw3Au7M2siyTeWYznhwcHIwT xWxpNgul6RZ6erbxawJFu/vbQBmsrXv+P5P+uQ/ma4G01jVFs4QNSuwBGoAE7en1qX+2dV/6Cd5/ 3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAa P7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6 Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2 /wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2 dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gne f9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8A Gj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf +gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f 9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/ tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ 3n/f9v8AGj+2dV/6Cd5/3/b/ABo/tnVf+gnef9/2/wAaP7Z1X/oJ3n/f9v8AGs3UdV1J7hS2oXTH Z3mb1PvX/9n= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0027.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
intcon reg (reg 11)
this bit is set when the timer0
wraps round past 255
if this bit is set an interrupt will be generated on wrap = round of timer 0
keep = it 0 for now
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0027_image203.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAApYAAAAgCAIAAAAnnK8cAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsIBw3GpnQAAC19JREFUeF7tnEeoFc0Sx/XxbQwIJkQUA+aFiAEMiAEMGBF1oaKiKCZQFypm cGNCXajgpx+CosITUREMi4egPkRdqFsVFQNGTCCG5X0/vnqv6ddzZqYnnHPmnFu9uJw7U9Nd/39V d3VX90zThoaGJk2aNG3aVH5oyZ0B5TZ3SrVCZUAZUAYaMwMmrPyjMbOg2JUBZUAZUAaUAWVAGVAG lAFlQBlQBpSBijLw3/y5JnvLx3o9cVs3WOoGiOyCvX//vnwOXMmaO3bsWB9YAFI3W5PqYJXsAp5t GQfTRLonYyqmDCgDyoAyoAwUiwEN4cWyh2qjDCgDyoAyoAx4MqAh3JMoFVMGlAFlQBlQBorFgIbw YtlDtVEGlAFlQBlQBjwZ0BDuSZSKKQPKgDKgDCgDxWKg9In0/fv3f/v2befOnSi7devWr1+/Hjhw oHnz5kZ3LrZu3Xr9+vVI3rt3L4ipT58+8vivX79u3Lhx4sSJCxcuDB8+fOzYscuXL+/SpYt5JCiw YMGCvn37ikB068XiMkSbvA4/37p16+zZs0ePHqWdFStWTJ06dcqUKaZNx2RPnjwJqnPq1CnbiCnY S4EFC9rK4Bj9+/efPn26aOLcNSqJqjaoWOFEcFIAof5Yb0fm6tWrV65c+fTp04QJE+bPny8wy2qd dAeGjxw58v37902bNqHenj176O87duxo1qyZoZGLrVq1WrVqFZIPHz4M0tuzZ08eR+zZs2fBu4cP H7Zr87RO6hPpd+/evXTpEm5DQwsXLhw/fvy4ceOkUUdD1GZ4mThxoqjn8JAXltQn0mN9LNbBwnrK 6tWrR48e7WkIWyy7g0X7GHeTOtiSJUuIJimwlMPBsvtYCjgxJ9Lpz2bY5Qdh48yZMzZfXESGK/36 9WOoojA0E6T5K/8yTHOX8Ex3ItgMGzaMcW3RokWE8zlz5jx+/FhqQ2DdunUI8CACa9asoWbqxE1F ILr1FCas0UcIdWPGjEH5f/5d+AFpXDRwHJO9e/dODGGXqmDHgrYy6DB37lyMbuwboaoNSpyhurii vR0N8VvsMnDgwMWLF588edLALKB1iN8mXPGD4Ef/tT2Ei8hwpXfv3vgeheAHQP7KvzLPRuzDhw9y xS6VdDaC9MyZM2nxz78LP1gGcFF0cDTkysqVK5mvyF2Hh6pjifYxHwcL6ykdOnSopFFsYsUKYT6W wsHatWtXSSzRDpbdx7LA+cOTCJbOo0aNMotj85RZCBKVd+3aRcCwJ3osxBkXHj16ZB6cNWvWtGnT tm3bdv78eSphCsz8wBYgwBOZGAdfvXplFuthrXsqX+tiLL7h9ubNm4ZbWIIiCiPX4MGDgwDJdixb tqwgwB1lcBLG+qVLl4rmiVRNJJw7/GhvZz6Kwx87dkyY79GjB8OxgWkrU10UYbRs2LBh6NChvXr1 cgTMcvbp06cHDx7ECZ0F0MiRI8k35M62Z4UsvtHq4sWLRqsZM2awCieKT5o0acCAAdTjaAgEOs68 efPkrl2qiwVNInzM38GSditPqrOLlfSxOnCwKvqY1144aVu6B3EXH/K34pcvXwg8jGh24G/btu3u 3btZo1APAljUEeC6LC7PnTsnbaVr3V/P4kseOnRoy5YtThKMrr5v374WLVoUX39HQ1kNsNypOc2j FX79+jXpXGa6Iobb02sePHhQEzDJljGj2rt37+/fv2tCYaPk8ePH165d68wqiArbt28P2zaSRQ+b HbWFtKYdDKpr1MdSOBhgK+ZjXiG8TZs27GyxnnbS6dEd4OXLlwgE14iEIplphgnQ8QjbTKul/nSt 11bnjNBW+u2IESOCMpxFCOZFRIzjC+RF7MKEqSCcPH/+HE1YpKZQtci4Pn78CKL27dsbnlnkkUwK 0l5AFBxtIYVAntZJp/v4DNsELNDtAkCfB7PLvH37lknSkCFDglWxix/MKIiYGKVr167Bp6qIJZYN fwcLjgAMI7H1l1sgtY85RsHo5VbV1J/OwRL5WEY4XiEchYjErPlIaJtt7FgSf/z4gUzEMjFCgN1E 4pZpIkXrserVioCsFVq2bGkU/ssq5tCAA4ftCbK4dkkxNOdFEQcgyKxImT17Nvl/kgpm8pFI1UTC eenvWY/4s12YfZZ8tpgomHCwciUxRjD2hCxi7HGSe7DLtWvXEtWQWvjz58/OIMMyw5Tr169Lzbdv 32Y7UwpbG+TYWbiXDPBVxBJLgr+DUZXjYyQ7Y+uvgEA6H3OMcvr06QqoKk14OlgWH8sIxzeEoyKz 2hTp9HRcM012MmOVbD2dzmV6KjgH+tf/CjOqO3fulGyXNAYnDOzCKYQyaZioWg42sqkvbytISaRq IuFEimUXtqdZ0bUVFgU7XCnS6SRI//3/ZfLkydn59KkhmCrHu6QwF7l//36wkkGDBpHhk6P4wVJF LLF4/R0s2K0YK2Lrr4xACh9zjMIMrDKq0koKB+OpRD6WEU6CEA6YROn07t27A0aypnYh8cVqjMRO mADCrNs48mM/lbT1itm43A3JmT77vSxOAkqJiMqs/1jm2oVTCOVWNax+TEnMlkLy39nUT6RqIuEK 45UR9ufPn6ZdrEbyMKhGYVHwnlWKdDoYWdHaJSz9kLtFOnfu7Awy7FxKsV+55JAaMVuKLAbCNKki llhy/B2Mqhwfs9/jjW2orAIpfMwxSqdOncqqoV25p4PxSGofywgnQQhHS5PQ9snK4jSsNhgRnENw 9C6OubHbbwScbVqSw2TRObfs2ClR6xWzcbkbYu4iWxhF2M0qN9iarr9bt27o/+LFC0GB29NN2Muo LVAm1Rm2R1MoOMQDSf5n3FAsFKgwZerDwUBXQz5WfAdLFsJhP3oO6zgfG04EY9IgfJaETXRZf7NJ wyvgkqDYvHkzf3nNjPFCzl6xzyt7pSW/QpCo9Zrolj5Kknpi3cCLZLxdJizBJ++2EiFKHsmhTtZ/ yDgl0QsFPorlIpNI1UTCuajnXwl5DvyWTBWTLajmhUn5llGwhiKjQFtJdfoD55VfurlTKnaynX6B tsxxebtMjtShycaNGxlSZAmVqFQXS7Sq/g6WCHJVhJP6WFWUlEbzdbDcgSQO4ZLQ9tSDRC7bsQjz HjDLEQ6OkiEnfpscFwtxwhLDHGFbzl7RFfl0ib1XareVqHVPJYsvRte9fPkyb7LyURRhCT455saG X9jL30T34Nc2irmOT6RqIuHKW5YZKifSmVdxgoENV3pKyfeaCo5CUp3+7BEscU6nvHnzxr+GLJJk jDnuxO47k1o5UocmJPbgP8Xb6tXFEsuDp4PF1lN1gaQ+VkWF83Ww3IGU/sCqLNdk9LF/m+bpIdjA GZ64GLbhKusS5MmflxzUeFaOXhPUbQH/1nOnJq8K033Ls2TrsCFhGIqczS3HZCXXQNm3w1NgKWlB g467Eao6z0YLJ7JXCiBO/bHebntyWa2T7vuXQrt8ZNT+bWDyYhh3nY+kctHZ5+bZkhZMtx2e+vuX gkLmDfQOe3+xJDoD0+EhLyypP7Bqu1mYj8lwGuZgYYN2og5iC2d3MH8fK+lgxlFTQzAPlsPBwtCF +VgucIyDlQ7h2ZnSGgwD2aNFccisGyx1AwTfSDfCFsepbE2yjLCFQpRLCC8IInWwghjC6SkNDQ1c SZxILyAYVUkZUAaUAWVAGWiEDGgIb4RGV8jKgDKgDCgD9cCAhvB6sKJiUAaUAWVAGWiEDGgIb4RG V8jKgDKgDCgD9cCAhvB6sKJiUAaUAWVAGWiEDGgIb4RGV8jKgDKgDCgD9cCAhvB6sKJiUAaUAWVA GVAGlAFlQBlQBpQBZUAZqA0G9NMuZbeTfhih7BQnb6DOvrwhH3mog1I3n9ypGyA4lWIpYM8yRtFE egGtoyopA8qAMqAMKAPxDPwHQ7INULeFd6cAAAAASUVORK5CYIJ= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0027_image204.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdhwQEyAHcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAAwQEyAIcAAAAc HBwXFxcODg4SEhIdHR0MDAwNDQ0PDw8aGhoeHh4YGBgGBgYJCQkfHx8ICAgKCgoHBwcLCwsBAQED AwMEBAQbGxsTExMZGRkQEBAUFBQWFhYVFRU0NDQgICAmJiYrKys4ODgtLS09PT0hISElJSU1NTU5 OTk2NjY7Ozs6Ojo3NzcwMDAvLy8nJycqKiouLi4zMzMkJCQ8PDw+Pj4/Pz8yMjIxMTEoKCgiIiIs LCwpKSkjIyNGRkZFRUVLS0tOTk5QUFBHR0dPT09NTU1ISEhRUVFKSkpMTExaWlpcXFxeXl5bW1td XV1VVVVUVFRfX19ERERTU1NJSUlSUlJXV1dDQ0NYWFhCQkJBQUFWVlZAQEBZWVl0dHRtbW19fX11 dXVnZ2dhYWFjY2N3d3dpaWloaGh6enp4eHhiYmJlZWVsbGxwcHBgYGBvb29/f39kZGR5eXl7e3t8 fHx2dnZubm5ra2txcXFycnJmZmZqamp+fn5zc3ONjY2Xl5eOjo6IiIiRkZGUlJSampqKioqJiYmf n5+BgYGAgICMjIyTk5OYmJiFhYWPj4+Dg4OcnJyHh4eQkJCEhISSkpKdnZ2CgoKenp6ZmZmbm5uL i4uVlZWGhoaWlpa/v7+goKCrq6upqam0tLSioqKzs7OxsbGsrKy7u7uurq6ysrK1tbW2tra+vr6t ra2lpaW6urqoqKikpKS9vb2wsLC8vLy5ubmqqqqhoaGnp6ejo6Ovr6+mpqa3t7e4uLjKysrNzc3Z 2dna2trCwsLExMTT09PS0tLIyMjMzMzDw8PV1dXU1NTR0dHf39/Y2Njb29ve3t7c3NzQ0NDd3d3J ycnOzs7Pz8/BwcHW1tbHx8fLy8vFxcXX19fAwMDGxsbj4+Pi4uLl5eXm5ubn5+fk5OT39/f6+vr+ /v7z8/Pp6en4+Pjt7e3y8vLx8fH29vbr6+vw8PDo6Ojq6urv7+/u7u709PTs7Oz5+fn8/Pz7+/v1 9fXh4eHg4OD9/f3///8BAgMBAgMBAgMI/wA7deFHsKDBgwgTKlzIsGHDPp4cSpxIsaLFixgzatzI saPHjyBDeuzlhZ+vLyJTXvTzSaXLlzBjypxJs6ZNhr/A8AMVppu3n0CDCh1KtKjRo0iNdvvyx2fS p1CBfgMXterTcE6taiUK7lu3r2DDih1LtmzZrmbTql3bTVy4rXCHhhPHtq7dr3Pv6jUrLpSYbh08 9PBBuLDhw4gTK17MuPHiHh9ADHZMuXLhH0Asa6YcRMjmz4uH/OghpLTp06hTq16dugcQIqRZy549 u4gR0LgRGylCu7fv0z2CHIn9uzjrEAp6iAgwornz59CjS59Ovbp16yQ+XN/OHXoJAd3Db/8fYEK8 eeoEPpxAwb69+/fw48uHn0JAARXz8+vXv4KFgfMARndACyvsZ+CB7amAgAvrIejgfCG8kMAIoowB zC8YZqjhhhx26OGHIIb4ITBkAHKhiCimiCEwgZRxoooweggMMEgE82KMOGoITBKC+CLMj0AGKeSQ RBY55DBmnEGMkUw22WQxoxQxY45UrgjMEaQU4+SWXAJJTBB+GNPlmEwaM4gSwJx0k0x+lLImQaYQ 8iY/ZowzJxqd8EPOnnz26eefgAb6Jz+FGKKnoIgmimg536QxJz9qeFOOopRWyic/axxzqKWcBsqP MDp1otOjKkE05ymHzCkGOHOygYo558T/KuustNZq6621koOIIOjg6uuvv6aDjBKPLkFMOsAmq6ys 6KiRijrLRuurOqqUtMpA5WSr7bbcduvtt+CGKy64/CRi6LjopqstP6wgwo+68IZLUBvivBvvvd3y 4wYp64Tj778AByzwwAQLjM4birBT8MIMM9xOMkwQhO/E2RLUhDLtNKzxxv+yA0co7nAs8sLupLIG P9faS/HKLHtb7rktx8uuuzHDO2+9Ndu8b78j92wwwgr7LHQ4D0escs7iWozx0EN7DDLTPpd8cspI V33vy0db/e3MWWvN7c1de72tvvxC7fPBCZs9ctESi+0yPxdnrLbIToc898ZSo4ztuvka/zR21m1X 7LbL5oa9ddgHocu1vIGX4/fXBTFOr+EVP+5415aTu7PQ73Teub+ev8Mw2kEX7Pm/pwsc+sJsU155 5Jf33fjbcWssesCrh5P7wnUznDron+P++8B5Uy1x2wu9rnLigc+uNdbpPn584uMufrjfCMWe/eGT k5s99u9ur3nZA4MDT8jp2B1OPO3IM4858KtzPvzmzEMPOAWTvvA56tRjDjzmo587zgEOesgDHO54 X/0OSLDWMS5508tc32pXPnrEAxzvWAcAwdEOd7iDfubQoDrgNw/1Eax3BDPfPOqxDgKGI4HmUEc6 dDdAeNBvHvIoWPGwNQ50lGMe9sjWPf/wcQ93yGMd7kiHORyHDnDIw3GXm6GdyoEOdtiLHT4cHPSq Rw4M3uNdQyxHPYwojyMqrl2UQ4cR41GPd+EjHmVMBz7KQcRyjIOM6VCH97r3rXqUcR1wHIfjzOGO d6FDkG+M4xzltbmBvUMcywhHPvJxu2V0YxnMAEYzMikOZ/yCGZ+kZP6AZjp4PAMawIiVODbJjGWc 4x3e0Ec4lvHJTy7jdgJzILhk6I51yMMdjluHOt6FxUn5Uh5yZBwFVeeNSILDGRh8pDOewYxmbLIZ 4ogGhpgRDYahcGCxYgY0nAEP0dGymt8goDO+8Y1mbDOSBNshQTohjX20whkEEQcpxLH/CEY0og+O YAWcnPAGVhEEHnFIgioIMg2BEsQVvnBdzLbIuF48AQrKIAg4SmEPahACEIBwxCPsIVHr5UsYhWDE HzTFD1JAAhCFQMQq7FEKVlWjEJAoxCFe4bzL8TFf1igEICJBCElcgx/1gMUk4sEPbMSCH7J4aUxn 0dOKNVJg9JgGJcAxilbQ4x3gEEU2PkGGN1TiDJIw0xnkMIcuuCKHBNMfweghDkJEARHPmAc2HLFW SzzDHbSoRTtsIQe2dgEU8sAlwHSZr3vcwhF/aAQjLlEPdfjBE+Tghyl6wQ9nROKllTBFVZUmN4Gl oxOwoMczFKEMeqSjF49QRBwOcQg0/0RiGn04wxzogAlxnKNg3wyYE4FBhygoQhz0CAdh0QCJTsjD GY/QBjQcwVY6vCJ4AZMnPyZhiHI8oRYEoQYdtFEHKYxgClQABD+o0QYYjOASX6xHJE4QAygUgx+v cMIw+cEI8GqxcNWLhh1k8II7sAoZXqjHK2ZQhSoMAQ+ZTRoatyaIEVRBCWEYBj/uYIUkVOEIuKiH G4jBjz/Q4ApVQEIlegq2rQnjCkFQQRCokAt+HGMJSpAFP24hJw57+AiGohzZeCYwd8xCDeAoxBW+ cb86sGISR8DCCpCQhFgkAQtBGEIUbmHCgMlVYOdIhyWyoIAUBAIfqlDBD4LwBEywY/8QcpBHGGaQ ZSv8wR2K/Rdjv1aPQ0jBCll4ghvGIQsmpOG+mbDFp2oghSsAwRKjhdvSBrYOWNwhHsjwASjWsQ5S 5MENQBhBCn6wBlMIITg9OIM34AHcj3X5X6wmBAoK0ANdxOMccwaCFMqgDWeMQRqxUPMQepCId/y2 yCbTG0EIoQh+2KAMBClGGJxhiT50IAmNYEU57jADS6yhCM/gBzFuUAdDYEEP/BBFArBBEDLAQqIt o+jhEnGDTDgCBBFJBhTGcQksBKIRhMBsSSfsMkdMQRB/MAEk+AGFJWjiD4wIBj7SwO4vIEERf4AE eBHHj59+zRqReAMOyJCIo6IBDpv/GEM5YDEHfizB4RB/qpCvml1TVAEce/CANNbxjTaAQhaV0III DlGIYBQB5Yc4gylmGFdSDiwdzMjCDwghhRU8gxQmmAMgjjCFdiyiDunQwhMgcYg4fCIdefbXnsdG jldE4ghbiIQh4tEGOfDhDPxwBCf4QQsVDBUQMj/cMgO2Dk/AAdM5uPQ8XqEEQWzCCinQe9/vwAg5 UKIbxx5YcAEWj2OwoAmFyAIRvEEPsReCDi7AhTiuIIxQmEAO1AWF7oiX7JTxgxCB4IcOPJAOflxj DPBwBjN+cAhgxNIGhUjHNWgQEUKMQBrpmIQNvgEKAPSBIHR4939hRq50FKEL3nhG/xOSwA9tLIEd nNDDhazhjCBKmGYuOwQdoqENNFDBHGrwQzSYgQx4rEMMnDUHckBN1pAPe4Qz3+IOv5ANHSALzNAO 8ZAEoEAMPqAPryAH/JAGi7B/yBAOSUNzAGNkWgAOhwAAfsAO3wAFrwAM2FAJKXAM2OALRGAIYhIM zJB5P5M2AxMPuCACogAMtaADryALQLANvuAHNwBbXtAOXAAI0yAMwUAMOLhYEFNV5WAP+dAMbFAF wCB8QcANqvAD7rAJgsAPqaAFw/AL1vAOyjRpAlN4YxAP1rAAMOAN49AK9gUNcGAEvUAMplAEqCAN weAL35B2HeNqjuQOjoACqQAMiv/wArOQDlcACNCwDUDgBfnABcdAhJ1wDVGoQ7U3EPyQCYpQDljA AMLAD8UAB+swL4NAEKyAAu1AEHHwB/WABJNAEO6AArSACgbgBF+EBq0Abywjby6DDTMQbvzQCU5Q Dr/QBuywCHICO+9nOPwgCX5AENCwBd3ABnlSEPEwBuyGCEFmhS12OPEQBRnFD9bABfLAD1wQC7WA EnqwDRKULyD4L0Z2BUnWAGnQL2LwCvXjCUfwDuaQDFfADfiQDsiyMF8GMO8gD3XQBu4QD+sQBtkG B+HAc1lQC5bgBvAgBqwwDgzJag1Uha5DEImAbvywC2rAD+ZwBMCQCXsXCm5wj3//M3gAsw62oAbx kAw6MADbcA+6kAbhUA9fkAbqgA+xwAXNIEMm2WpPIzCsZgRvMA8XFARe1QalgA/zoAlE8AtKIAyy oAbnkERRiWxTI4qkSA5NAAKNwA/SwIoEIQaYQBCIAAUFYQqr8A0nQA0FwQWTgAopsAX6wA/CSIwr Y4z5cgtNcDntoAj7wAzQuAiJgJP5QnD5IgmXQBDRQAPRgAf2CI7iyA+IEBHU2DceBznuEAUkxg/B IAUEAQasMI/8YAfVgJnrko/+so/ikAg24APAEJKvMA/4YAtHcA7mgAxXcA3sUEZT6GVOFzCvpAWa gA70wA66UAqgkAf8Iw89YAsf/wkPaZAL6FBGaRkwawc5fWAHBEEJ7slw0tAHNckGunk5OvkvPOmT 0PADLhAI+3ALafAO5LAHY2AO7GBl0WAO8lBaJ4SIWKUNQhAK40AP86AIqXAOYnAKPeQJQpAMTUCW eeB/8pCeIRiKBEGK6GAHZlAF9mAMcFBIDLcIBGEGkiAx6SAP1DACTOU4m0AGpeAFPdASibl9JcUI aGAQkmINlblw5bAP+3BG8LeZj0AQwEAD3UgL/ACl/BCO4/iKUBql3IOAW9MOVjANBDEKTqCSuGCb euAKWwqlH0g+RTYLV9ANhDAGM5AL8RCQSGQJU/ANP1kFnZAO7IQ/o6SDAQMP0f/gA7zgDvAwD9Hg DLoQBumADvGABbownmJwC/HATt9QMOv5N4QAbfzQB3dAEF1gCn9QkwnGD/fgfoLnhoTXk/FgDEtw BUkwDqCQBuKAD3IgBvKgDrFwBckAD9/gFrwDoQEjD8eABcTQoPNgDb/wDWJACvawD48wBcwQorKQ BpQkDqEaTyg6ioqADmVgCzTgDMQQowQBBTTKD2PQbOHDD9VQBPgQPpUKC5DgBidzBsNopIzjBqlS DiPEDvvApOMwCE0wCrlwCsAAbyb1NZKQfPAgCj+wDmXwBqpwCqGgDupQmoigB6mQC7mQD9bYcWTq MmaKpvzwCVxAEItgCLYJB4j/0LGh0HuMRKfZZafdsAmVUAVkIA9+6g6AKqjaQASOgAuU8ArREJ3/ 8pB6Bg0qUA3x4A3N4Azp0ApKoAzRMAshsAqU4Ab0oAR8AAuUIArWYKJqh5KMU6oEsQl0gJeq0Ah7 xwtDUAqykAo9OqsOupO2agxQ0Acq8Aun4KvAKqzqsA0+MAm24AnQ8LcBs3n+Eg+jMALAkA760AzL IK5QgAn68Atu0Ab6MJajIASDYAi48Ats+0LlqqJqIA1EAAvIoAYyCq/v2pn1agpHgA7hswtV4AmJ UAo0oA5fELBuw5h/kwcLNw68kArVgA/PiA6PIAAiAAIgoGgDN6VfwwhXYAt+/zACeGAPS+AAMAAC J2AN41Cab5AA5wsCqZCyq/k1LUsQuhCz/GAIngAKKKEEHnC+KkBiO0tkPXunjpAIduUNcPAKfxqo 8fAMKeABOOAC6sh0AyO1/pIOxRAC3GAOxWAIr9ALr5AFhCAIUjAD+jAIbhAPTxAAO+ACMRAL8XCS RvO2pnoIZEAQgFC3excLAQACOmAF0SBkkia5/rKft6oErpACt0AKY/CrwTqs2fABMoADLYAKrwYw lLs+oACa7rAK+3sN55AEY+AHiPACjSAOSSAMvJAAH+ACM3ANM0x7a5mi5yoG0XAIejANeXC78aoE d1mvtPADEcYPvMAEhrAJ4v+wAsZQCcgrNsq7LvyQB3ISD24ABWlwDtHQBtSbA1iwBTUAClZYMZrZ vShgB2KwBaLVBDBgBTWABM3ADuz7AVawBaosvyubL/W7Y/grCqLAv3DTyjVABNaQkrz5Qj7rCEXH AsdQBgxstA7cDDTAAjNwAk8wDMnVdIoKMMqnAsWADrfgBEDQB6wAA1ewBCrwBfjgB24gD07wAtUc BdUAV7nktt4Dt/xQCXN7qjzMD7MgAzWQBU6wDEScn0ccuFcwDGmAB6fwxIk7rMLwAiZQmLswxw86 lQETD6+ABcuAD4GgBUQwCPFQBTOQBlomDZkoDLtAAiGgAkggDfScXa97rm3/4AydQASooAd+bDG6 e4XkYApT0EaOUwpsYAuIUA5MoAiSoAuKSTGRXDl2sHDxUAZBAAP6sAxtwG924AvSUAzfILGlPDaM UASSsFM+lAeToAzFYAzjsA4iewbQIA3SAA+4nJK7zAr46wm/jBJ5wFprPUwDHE/JLAnfEAWTsAbP fLRyCCazkAqzoA+G6C8YHA70YAwnwA1JJQUwUAascAJ3AAabAA3soAlu0A5NIAmxkAq80AxQSzT2 fDj4DAn7TAi7YLctyQXZgA3GANh+SzBIbAxaoA2DMASYkAdQrLidgASvQAuqsLbLitGcdwpb4Azs 0AdUUAJv4A4wdgbWlQ7N/8AFwoAKQYAKu8ALz9Da2qWibfAL7tADleAGOw0p10cQ3SANx+ADgM0P l3AIsLAHJcYFZyDKAisveIB36BAMpRAFwIDV0VgIqSkvYb0ukhAHxKAMvWcPeiBapDmOVTrK51im Z0oQqHAFBMEJsOCmVDXKVsWzIZjMhzAOdsAEZlALDSyoyVAFwbAOnYOo2lw6i2UNK7AK82AMo+AF ZpBftNAJvkAP5kDaIVkL6oBdAzOqkozPicAHBPEGrtCq/BAKdUBSW9qGRryRgYuGxjACZGAHxz2s TakNaMfjF53F8UALIfAL6xAMpKAFZ5AOoJcN1bAM6vAL4C0LcCAOGQPnMv9dx+aKDm2QDPxQBiNw oO8ar4eA5QTBC2/wDApeEHQQCLrg38WgAkXgJgNOLoFA4gVhBtbA4IuQCfe5LhFeMZLwigVxD3Yw mgThpaaJmuaosnYd4vzgCkZAEHNwC5+AErj56kMm2AZ8CORgCzaQBadQ4z/ZnM/ZkInq4/9yDt2w BYNAkvxwCniAC2bgf2i3Dk6eBqdwniUqqq/9NvisCCypBtXA5V6+Dw8OOQZN5j4J3EsuBSmQB9/w 0MTKBb8QD+XkTczKzb7QAbPwRvzQCHsAD22QC37UDvQg6GQJB+CQDglProqu3iR2Cw/wA1Y0owSR C0ZgDlnOBOSgBcPID+z/QASs8AooMQ9CAAGn0NQT89QVEwwrgLL84A5L0EqVqV757jKx7jiSEK8E cQ96kOK5LrKUoJsfzrLAjg0m73KjcOz8oAcyp+LLTjwuzg7EIAIGQArqAM02XgXHID+v5JDTCZHp IAZpkETkYAhsAAthEA4lmkFOLgafMA/w8PFT/u59g8+tsASwigTKkAiu+kUlVcS+XebYgA6bEAFV 8A4EX6xuHtlavPCw1g0+IAkMig6HsAftwJX40A7nkPGD/sTtAPquG/I0TWLRIAFZYCcozw/NAAM1 pg8jsGKV8AQoSwowsAy6gBL8AAYAIFClvjX0EAKTgA72AAoo4A3OUJlx/9Cg75AO+F6NWyMJmmAv /GAPYXALGsT57sC+hACp7xAPUKSauUy/wC4OUuAM5RAEw2CbYcDAAAHvnTp+/ModRIiQnxtS68I9 hPjQ3awr3RwdWhdvCABS6txZmvItHjIqp6IhI+bsXUSW6N4oYscSojtFLba9A3alzCs43eA9XKfJ Tbsli5yhbLZSZrh2yZgUTBi1XEFCZQpy0zIOHBJ6hTjxC+WFnEGpUQs2UdZuabh1ttTEM6YFGzpe E4KcwydHjDx1sa5Yo7eWJTs4odwtPeeOTRZo56ZteZOuDSiH4ej94iJM1hifgiWmWsNvVZeCjPqg uwKNXz0aK8YVrKKo4P84KU9s7QkxjV81GnNaAVHCjxKdgqMo4CJbVvny5fwSGUquvKCcKYseOQGi 7teVcYJmEIIkyQ+76GX5sUJUXuGeSGQNXuHSZ5MjWuqYFONHRoh8SbbsqZ+KnzbEAVAheE7ApiB0 5GDEkjHUEYU4+ORzJBWopGOoMpncccWIbvaYIx58CKmgFnPcGSQLkZgZAYo3zqBjlMDWcgmmteS5 Jos2JpHjhkZuaaIztgIpI50gjEAERlEEWqqppwo8qCBJxCjoHD04SQQPft4IhB9Z1BiLuSj5QUut pdahZIl4sAGil3mi+cCHc9gBIwl5zDHFCGRm9Cwcwgxb6h13TgnBC0X/2IBBFHq40KUyepihIhhW lBBSMHdAE400fgoJBJ0llCnojBleI/OrglKJQQYe3kCHn3neCKCEEjoRTo6CvHHBFijF5FWh53aN EpkjSMiBhlH2sWYJdDAxoIAAAkhBHmDPS0+6SmQbkwsCFAjAAjTYSaMXfr4YgNsAgghTqoIG3JWf drbwxSB+eHmBh0jKEcXWK7btVtPmMlzLHVO06OaQN+JZxxQFQPGIEiFEegaFCyxIAINN1lFKphpj QiycQBzwwIIltHlFDCHXEcSLeIzIgGIM8kjnnCadulA6fgAJoyB7BiEBhFL4qUQQfkaxI13mzkpr rXU8GQOuJ7BZRx4m/4TAKw4o+JpFC8D6fOjPw5aCZxk0BCDBAzyAeWeJVxz9pYpjcjH5J88uDW00 g1Y55p5bvinoGkXImUoXbuQdBxIj8iBG3mHECGKP/6RR5aB7ApEG2F7FdA46XgvCRQsqJnkNnFvu kcYMKNpogw5zNkevQH5MOSY5fkSB4/Q2PLmnFm/mDcN2RuyxmV0x5/FDnCjbKUMLULHZZfbaUbek XYCXooeaRb6R5ZR24Hnmi2PSoaeTRsBpx5tKuNAifVjkybillzheSp5kzChCClnwEYaSb2QOJx1a WkmHIpKQPi30gR7tg4iTaiadVfxsKtvJA4FckQ1+IOMUwNscmZK2lP90bMMQj/KDNugRj1f04R3x +AQl2pEOaBhFbn3ymmDicQwuCGEJx3AHOB4hjHQ8JGyLSIY09Mc/S2HKbuUYBzrKwY57FIQc6ogS PurhHu2EYyoBeoc2CLKacUTJHK+5XBjV9ate8eMey/gFeczIjnLUgx7t2J48ytg66YwDjAjBBxy3 N48lNnEcb9yeO1wnIALxah77UEg4ljEVdLwmj3CEhzmk15C1vEMcywhHPvIBkWZ44x3v6IZKHvKM ZJRyGMtAYEQ2Jph3nAMYwfBFOODRDUxC5B350Mc7nFHKZAzjGal8iAKnNQ7yRCkfBOJHEvlBDjaW UYNmksk7vJFLcCz/4xufzMczwvEOfeTyHd9YBji41rXCfC1Q50hGNYbBpGV4EiLV/MYlx0m3TMnL nmNSiOwKUrN9QuVC+xRjQH2luQz2M0AB6qflqAWlBSIUoP5M6CCFdzR+PtSeBj3a9BDTQziK8xzy 4B88egiOd8gjHieNBzSXskrBtMMd50iHPGTJJx8GJh0ojUcP1yLMDOYTogd1ZpkEA4/AvCMdSqHH SFf4EJgCcykxFMxNS6rTmEXEqK2kaRHrRpordjVKCjFLQwH6VXwKNKCZs5xPwerQtC60OeWJaFfj GrxCYi6sYJ3rvyjJyodkrH1+PccnA+sZlrISHkRM5UpaKVinMoVm/wqNzj/XmkGh8nWbtlTKJ205 TnICyjPvOOxmWZLZeRqRq2YFUFrNejm0hrGhq3XramFLSNWaRbZT0ShndUvY93FNs7v1DE/PWlt1 PRO4x31qOX2LXK3W87bPhe4YCRpd19KRuqyl7XVdm1vmHrew3QWucLWLOeOCF7lQNe9u6XnE8bbX tWR079GsG1/zZJe+GNprenf7Xf1yTbz3TQjSVNpfrqGXwH1a72kBvOAowZfBCpnvg9dV1wdHibsH Fgx/MTyzJ1V4TJXdsGcMHOINmZa4Hkatgysc2xXb18MLyS+JV9pbGXP4tQAWcI3XMmIdhyPBJ0bx WVUs4QgzeMJAhv8ujDXUY4hoWMf/NXJ5mQwRHuv4x0Gmb2tRzGIJu3jFF+6xk2sM5QXneMpUVu6Z fWxiLMdXyy8ucpm9LGEw61jMMiYzjqV85irXmJ7ciENEBT1oQhfa0IdGND8moYtEN7rRoWCEoyVt aDikY9KXFnQXeHGPdXTa058GdahFPepQ80MSl+AHqVW96lXjIxpQwHSs+ykGYOCD1bfGtaf5YQZT kCPXv1Y1OWLBBn4AWtbHRvagF53sS0Oa2ZOGg7Se7WhNcxrY1y71qVONbW6vw9WwnrajaW3rbnN7 17PwdbmvLew78CMPNABEvOU9b3rX2973xne+9a3vH2ihEIQAeMD/BT5wghfc4AQvxBJ88O+DN9zh D+/AGfY9cYrTOwVNSNIZNL5xjnfc4x8HecclYQUiOCLkJ0c5yufgBhZU3OUUt0Ed5pBymtd8444w gYtsvvOTvwEKKgBEAjLAA6IX3ehHR3rSlb50pje96RfQQA5IMHWqV93qV8d61q+egw1kQOpaB3vY xX4ABzjd7Gc/OgIS8HWxt93txCIAB9j+drpjPQcOOADa9X72Ayhg7nUHPNVzMIC1B97wU89BAhDA AzdEQROCgHzkJT95ylfe8pfHfOYvrwkpUKERjAB96EU/etKX3vSk/wMTrBCI07fe9a7fBCA6AInH a972t4e8JrLQywVO9N73vwd+8IU//OFToQ3ER37ylc+JTKxAE7XHffQt//wQeGX518c+J7IAhux3 H/lk2IImpGGrcJdf0pzwAz/wsX72t9/974d//N/Pj1vYSv73xz/+2VEPKMzD/IimA9X4P0NThJ8Z QEKrBzg4QENTA3xYQEIDg8R5wIhCBj7gh2xAgwnUwIJQBKHBqQ8EwRAUwRFEKXvAhQwkwRRUQRF0 B3NoAmnbwH3igwSJwX2KhFeowYIwByrJwYJIg3joQX7Ag3jJQWhot4AAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0027_image205.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhHwA7AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAABAAa ADYAgAAAAAAAAAJVhI+pF7H/moPUyFnjzVVy6mBfIo5KaTJptJ6t+6qxPKOtnTaztQP6jsv1gibi x3gc9nzKZg2ZgXakoCUVcsU6a9tYdvEFD8OkcfdFRqTV51t7teb1CgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0027_image206.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhJABQAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEABAAe AEwAgAAAAAAAAAJwhI+pC8EPm4v0hFvrxRlu3nlguEykd0Zmqqxs4r6W3NK1PeO53vC9H5MFhb6f bvhCppTLIpP0hAKjHWoV6MzyrBkuxfvVHsU4sIpsM6O2akbbjY1v5eM5vXxP52nv0p7I9pckyNIH Y4iAmEjYxHhSAAA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0028.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
using the t01f flag
lThis flag is set= when the timer wraps round.
<= span class=3DBB style=3D'position:absolute;left:-4.76%;top:.39em'>lwe wa= nt to count C4=3D196 cycles
<= span class=3DBB style=3D'position:absolute;left:-4.3%;top:.39em'>lif we initialise the timer to 256-196=3D60
<= span class=3DBB style=3D'position:absolute;left:-4.29%;top:.39em'>lthen = it will wrap round at the right time
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0029.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
timer 1
lThis is a 16 bit ti= mer that counts one step each instruction. Its low byte is in reg 0Eh and the high byte in register 0Fh
lIt is controlled by register 10h ( T1CON)
lTo switch it on set= bit 0 of T1CON
lWhen it wraps round= it sets bit 0 of register 0Ch
lThis is much simple= r to use for large counts
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0025.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
timer init routine
l
l init= tim        ; initialise timer
l   clrf TMR1L   ; TMR1L defined as 0e <= /b>
l    movlw 03ch   ;= 3;
l   movwf TMR1H  ; set the timer to 3c00 <= /span>
l   bsf T1CON,0  ; switch it on
l    bcf PIR1,0   ; clear the fin= ish flag
l    return
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Sensing the switch
lRegister 5 , also called GPIO is mapped to the i/o pins on the chip lWe ca= n test the switch by monitoring GPIO bit 3, to which pin 4 ( switch input) is connected
+5<= /i>
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image207.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAWkAAABqCAMAAABJRlBmAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsMB2mqY3AAAAI1QTFRFAAAAOTk5KSkpKysrMzMzMTExLS0tWVlZT09PU1NTQ0NDQEBAWFhY RkZGdXV1aGhoenp6bW1tZGRke3t7k5OThYWFmZmZi4uLgICAjo6OjY2NhoaGra2tubm5p6enoaGh tLS0xMTE2dnZ19fXzMzMz8/Px8fHwMDA4+Pj7e3t9vb29fX14eHh7Ozs////MiVqfwAACc9JREFU eNrtXQtb6zYSlboPrtpdrLY04u6CUbCpSWzr//+8zkO25Qd5cENKyOi7dkGeyDOHsaScI7kqSDlP UQKBIC1ISxGkBWkpgrQgLUhL+fpIzxxVfc1akD6po0cVQfqEjq7vup9uLiKqy0U6fIu9xvpWkP5Y R1+/3T2HsHn4thGkP9rR9R+3t7eP28uI6qKRvqioBGlBOnXy2InbZ5zpXQbS78lpQVqQ/vxI16bY Z9lotRKk56VWZQhudSDSrVZ7kXZ5rZqdSB96zy+W06tVaFXjFCQinabl4TlBum72I13qLEty+uVp vXzPP7XykP/+apAude2zYKs6q+g08079dL/e9MAdkNPW9zn9eL9IPNE9ra8NHleDdDCVqQDp4Fd0 Couc3d3hSGcemozG6/ufJnM91d+zUMrjcT1Ie6NrRNrldJrn9D++r7dH5HQFvUc99B7PC70H3dM2 jcKjvRqkW+ycrTamptP08sNr7+TpZnl4T68yjcf15DSHXjTx9B7g3veBtuDjupB+L3C153KCP40g HZ1cpjGKt6SsT6lwXTiXZxX+u4ioBGlB+iBHc5UpI0ifwdE6U7oRpE/n5PIAt2PuISPi+508Zu4h s7wTI33CDwjSe4Dz1rryqyK9UkpXwcNDu2rg1ASn4T+aBJHaKZXV1oZKextchcamacAg50ssmzjf 2cE0jY09Ek1+N9KlVnbmC5SUa3X8e4/062t35WU7ioADIIXGVS2SeuwCVUUHIYbEL1d5y1FQQNQA 4ZAjleIDw3A6pL2p4YBkCrXyFLhukWMjQQQv2tzaSjdwTbdsDD8Wii+xbKI7O0KajL1yXUTb9Xf1 0N3u4ee7l47Ly8oJmdcoW4fG6JmD/IHty90vQ0tK/cp8Ve9UrTQpNLrNNcwU2QUSbaKDiDT71WiM E9zs6gtFDRR4Nj5QA3uoxmORjnCQE1ZBkhUZ3YIEEb5oNaSVt3ABf4c/t8rymi+RVZFFOzsYe6NL qt38Nuenb7sULcYsZ0HpnI9CqHXRPQR//HPa0G/rPgIOoILfwE9daUwTdIGqssGGKsuV8j7Dvorq SwyIGsCECrnlBk6PNDxBhdeQjGUTTJXn8RYJ0g6fPLjASBe1z3TXNYBVno+QJmPEOmOTUSY+qp+f XrrOoNJjUabB/miS0z5L+unnp5shp39/3CQRUADwlFif55WyehXYBaxS+YA0VfpMWZP3SAcMiBpA Ezi4gRMjDT0H5FJBHQcMRhl62yONtc4BgtnKW7iAYYNHeexpnCOrrOztCG40hppsUEBe+zWNm9e0 ny5nY8YKUrqaP3O7RkSOgAIAY11kpQO4VcsuYFXnICFNfhXUd5Gb6Hjed514Np4bODHStVVGuZru QwJHr4VgF5JprVsAD3o6pCPAWGsYETUkH11CK/hEtIPn2UdjaK9Re0ZETQPwOKsb/DcyLfYhzRFQ ACUF0GKrxrMLUGU6Bxlp9gvjhBGR6imgiDT4lDXcwImR/tsmSG8tKvD1cR/4W4O4aKS1W7CXb+Pv dXIZOOinrDXTSbbk9OkdbTh39WVEJeunBekDchrn197VgvRHO1ow82AF6Y9HWtNXF0H6dE6+tQrB r1SmzcEfuGykz7GR+I1JG35789os64ifLoW+7Bqmy0I6Yf1xaXJHmieceaoDRHNXEf8fEgGAOfyZ ANCQIRII1u/k0RnpuLMi7T1mT1FjVHaBSKesf0FjPJPmkTP3jaqnOgCY6+FjnQDAHP5cAOg4eWLg En5mO3B52wFpvuHERVWMVta4PLh2QHo7LOpdb0b5w+nDElCquVCVqyhDUs3F+YVdG8hTkSVlFadk PU2nQ5BOWf/GrIj1R8470vZN5hZ0AOb5W9WUgwBAHP5cAIhCwQTp14cb9di58KB+fXzteg+84R6k tel2FKnwDA2pZDy5eZpqLhVLQKnmQjnDapFVveaCRrRrwyKMKKHhETRpBWxZqJqFqEk6hQOR7ln/ rIJ2ImkeafvG62KiA4D5//LI/4dEAEAOfy4ALCH9vENzwRvOkG5GSEN/ZUpGej1vaaq5NCwBpZoL 5kxUiwbNBfMn7trA/IATrq6HSrAgS8qqqONM0unA3qNn/Vtr4Z6RNGd63PmpDkDm/ymZ/w+JAEAc /oIAQIZ41klObx5/T3REkkq63iPMd8JAlOmICAmA0g33Htv1/b+GQO/X2yR/WDJhCSjVXLAqqkWD 5uIsyrK4awMJdyLW4fB53iFNWVVTm9N0OgjplPUnHrwjzYken+sAaP5/Ffn/kAgAxOEvCQBoWGek mo949M34B0Y67qxIHVxZM1bUIbni7gu1O39iUCQBpZoLVpG8gjp7p7l4jw8K7dqoKhO6nKbcIUvK Khaipun0NWZ5hvqE/LhZXqK5sAQ00lygqkS1CJNi0Fwoo3DXBvYeOW4UgR+gEntmtKSsIpBn6VR9 BaRLmA5ov9LHIf1DBbX5ti2Kon1XEJeKdKMyp/KFb+Of8qVXF/3WiQpGpsbIqt4zOeqcIP3RjjY0 XbfCT3+4ozTC54L0WRytV0o0l1M6uWMusUCGXDHSP/r60beWb5RZ65bXMMnKmvc7udRPK41LPq54 ZU1CAPMS+8qqFr/VDtQtXjVtsIe9qqcDzo1BLVURjMvSSt6mcC1IJwRwQew90gA+5Cl1ixRB5oO2 /euBFt8O9LxOlIDZgoNGGatWo7lHR2fsimxIhKgFpUoAVbmKJCBMk/GGEuT+2Y41oigZ1Kwy7VaP PgDplADGAQvpXpMFE197xEgbn+uyyLrXAwHmcMy+7d0pdfPQKQEkRoxKRby8G6NoE6S3g9LyuJkn QtSCUiWAqnj5Mb0+a7yhBLn/ThxgEYGFgF5lmqpHH470QAA3Xmc5+KpKY0dIr3Qe8jy+HshYjQcO bupNJYDEiD2FtilEpFG8GcZFRbsLpolAWlCqBNCeDpIiCyLuVLqhhLj/zo5FBGotqkxnRzolgJG9 17jHwencJtQt/FjqFolgej2QK2s8Zk09KtW/8YrFiD33pm0K3T6Xf8/+ZOtJIrAWNFICoAqFJGau B6Rpj0hA7n9QDEInGUSV6exIpwRwRUvs4f6VauDR7KlbcgpzlV8PFKn1eT/9Mup29+d0NXqL2/PT f5/7QL/HfXJJIkQtKFUCsAqFpCjX6dGGEuL+B8Ug9JIBq0xT9ejDkT6+tPHYNWyfbI9tkgisBY2U AN3NxAEu2pyRbigh7r9XDHAfSRQCWGVaUo8+GdI/AtxpPnCmIARpQbpz8sgv17LP5WyOCpcnSAvS gvTgqPzfoC7+ARCk5a8vRZAWpKUI0oK0IC1FkBakpQjSgrQgLUWQFqSlCNKCtCAtRZAWpKVMyl+h oSuz+aWD7QAAAABJRU5ErkJggk== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image208.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg /9j/4AAQSkZJRgABAQEANQA1AAD/2wBDAAgGBgcGBQgHBwcJCQgKDBQNDAsLDBkSEw8UHRofHh0a HBwgJC4nICIsIxwcKDcpLDAxNDQ0Hyc5PTgyPC4zNDL/wAALCAB5AZsBAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APUPBGi6VL4B8OSSaZZu 76XbMzNApJJiXJJxW9/YWj/9Aqx/8B0/wo/sLR/+gVY/+A6f4Uf2Fo//AECrH/wHT/Cj+wtH/wCg VY/+A6f4Uf2Fo/8A0CrH/wAB0/wo/sLR/wDoFWP/AIDp/hR/YWj/APQKsf8AwHT/AAo/sLR/+gVY /wDgOn+FH9haP/0CrH/wHT/Cj+wtH/6BVj/4Dp/hR/YWj/8AQKsf/AdP8KP7C0f/AKBVj/4Dp/hR /YWj/wDQKsf/AAHT/Cj+wtH/AOgVY/8AgOn+FH9haP8A9Aqx/wDAdP8ACj+wtH/6BVj/AOA6f4Uf 2Fo//QKsf/AdP8KP7C0f/oFWP/gOn+FH9haP/wBAqx/8B0/wo/sLR/8AoFWP/gOn+FH9haP/ANAq x/8AAdP8KP7C0f8A6BVj/wCA6f4Uf2Fo/wD0CrH/AMB0/wAKP7C0f/oFWP8A4Dp/hR/YWj/9Aqx/ 8B0/wo/sLR/+gVY/+A6f4Uf2Fo//AECrH/wHT/Cj+wtH/wCgVY/+A6f4Uf2Fo/8A0CrH/wAB0/wo /sLR/wDoFWP/AIDp/hR/YWj/APQKsf8AwHT/AAo/sLR/+gVY/wDgOn+FH9haP/0CrH/wHT/Cj+wt H/6BVj/4Dp/hR/YWj/8AQKsf/AdP8KP7C0f/AKBVj/4Dp/hR/YWj/wDQKsf/AAHT/Cj+wtH/AOgV Y/8AgOn+FH9haP8A9Aqx/wDAdP8ACj+wtH/6BVj/AOA6f4Uf2Fo//QKsf/AdP8KP7C0f/oFWP/gO n+FH9haP/wBAqx/8B0/wo/sLR/8AoFWP/gOn+FH9haP/ANAqx/8AAdP8KP7C0f8A6BVj/wCA6f4U f2Fo/wD0CrH/AMB0/wAKP7C0f/oFWP8A4Dp/hR/YWj/9Aqx/8B0/wo/sLR/+gVY/+A6f4Uf2Fo// AECrH/wHT/Cs/wACf8k98Nf9gq1/9FLXQUUUUUUUUUUUUUUUUUUUUUVDdyNDZzyr95I2YfUDNcdo WreOtU0DT7/7FoDfabeOXc1zKpO5QckBCB9MmtDz/HX/AED/AA9/4GTf/G6yL7VfHtjrlp9oTw7D ZToyBGml2mQcjMmzgkdBjnFWdS1nxpptqJXsPD7O7BIo1vJS0jE9FGzmr3n+Ov8AoH+Hv/Ayb/43 R5/jr/oH+Hv/AAMm/wDjdSaFrWr3OvX+kaxZ2UM1tBFOr2kzOrBy4wdyjBGz9a6Oiiiiiiiiiiii iiiiiiiiuf8AAn/JPfDX/YKtf/RS10FFFFFFFFFFFFFFFFFFFFFFcj4j1rWhrM+i6RaWEgXTTdyy XczpwWZMKFU+meaueCpoo/BHhyJ5UWSTT4dilgC2EGcDvXRVHNBFcRGKaNZEPVWGRVW10bTbKXzb azjjk/vYyR9M1eqtc6jY2U0EN1eQQSTttiSSQKZD6KD1rhtXvtZ03x1rt5pNvYTLBpEEs63crocK 0xwu0H0PX2rt9KvTqWj2N8UCG5t45igOdu5QcfrVuiiiiiiiiiiiiiiiiiiiuf8AAn/JPfDX/YKt f/RS10FFFFFFFFFFFFFFFFV76zi1CwuLOcZinjaNxnsRivOgmtTWF5c3g1G2fTY009HgDK0o3DzJ UH04B9KJrnUf9HQ3viBbONZDZyoj+bM4PyiUYyR9cZrtrt/EB021bTotPN4QDOt47qo47bQec1n7 /Hf/AD7eG/8AwIn/APiK5rW7j4sp4gs00y00Y2hj/feW5aPO7uXAYHHoDV22Oqt4yvjrKWiXn/CP 8i0ZmTHmv/eAOaj8PaJPcx/D/WIIAyWmmtHcS78FVaFdox35zXodFFFcN438OanreoQtaQl4xEqh gygBg4b5w3JGBxjmm6pn/hIvF+7r/wAI/FnH/besuHTviLcaXoL6JrWmW+nfZLfcjwZkUbFyTnhv pXT/ANieLP8Aocl/8FUf/wAVUlrpXiq21C1lm8SwXloJP9IhewWIsmD91lJ5zj9aoeK7bULTVFuN Lt5pW1WL7BOYgcxEn5ZSf4Qql+fXFcg9x4ksZL7T4ZdV8hAI/NxLtTawUchSVJBzmPcDzmvTfDNx dXXhqwmvY50uWiHmLOMPnpzWrRRRRRRRRRRRRRRXP+BP+Se+Gv8AsFWv/opa6Ciiiiiiiiiiiiii iiiiiiiuJ8aW3htb43mpeIJdK1E2ZiQRX/kGWMEkAjuNxNa/gf8A5EPQP+wfB/6AK36p6raw32mX Ftc3EtvDIuHlil8tlHqG7V45o9jrFr8R7fT4b7VpoodRM3nzXvmW72ezhP8Aafdmvb6K8t1y00If EXWY9b8R3emxXOn25Cf2j5CyAmVWUD+7gdPc+tek6fDb2+m2sFmwa1jhRISG3AoAAvPfjHNWaKKK KKKKKKKKKKKKKKKK5/wJ/wAk98Nf9gq1/wDRS10FFFFFVNTvk0zTbi9kUusKbto6n0FcunivU7WS 7g1L+xhdJaNdRW9vckyKAMgOp579RxWO/wAQdeSIxjTbOebEcn2q1SaW3VGBJB2jduXAz25rS8Q+ MNYsNNmvdLttOuYrWG3aeSWRwrtKwXCYHbIPPY1pHxJfWOv2OkalYxBpbGW6luYHJjJjxlVB57jr VObxNr9potxrdzp1j/Z/lebCEmbzEGRjeCMHI/u0y38dy3VpHqEdkgsp94hDsRKWVCTlf4cnAAPN TXnifWI4rq9t7KzFjpsQkvhNI3mE7dzCMAY4Hr1rNHxCv1vS0mnW62UmRbxuzRztlQVb5htdSTj5 Scde1bF1rniHRvDmp6lqml2k0lrAZ41s5jtZQMkHdggj/wDVSah4qvI5dOtbCzhlub63WRDK5CI7 YwGxzjBJ/Cp7TW9Xj1qw0rVLK1SadJGeS3kLKQvQqDz+dZzeOZpPEN/olvZxm5tZSS8jEJ5SjJ57 v6AfWq1r8RJZJp4LiwSGTMP2di52zb/vDP8AeHpXV63rttoGn/bbuG6kj7i2gaUj6hRwPeuZ0T4p aVrdm9zDpWuBFlaMbNPkkBweuVBH4daXWPijpOjW0c9xpmthHkWPL6dJGAT7sBn6VTt9Ts/EPjK+ vYrWYRf8I+Nou7Yxt/rX5AYUuga9qemaF4QtYrK3ms7q2tocCQmc5QZkCjgIvfNeh1geN76103wX ql5e2SXtvFDlrd/uycgAH2yRXA6NpjeHPEegy6nonhpk1KXbbSaZGwlgcrkNzwV7E167XO+J9V1r TJrEaVBYTi5mWHy7hnDknuuBjAAJ5rD1eGOXxH4u82ONyNAiI3KDg/v+map2fxHtdD0zw9pz6JrM wltIEM8doxQfuxyO7fhXR/8ACdWH/QL17/wUz/8AxNUfCfxHtvFd1JBFourWwV2RZpbc+W2DjO4d Poa0td1zULW8e10xLBTBD9ouZ7+RkjRM4AG3nP6VzV18RtRhV7yC0067s4ljLQW87STy7iQTGQNp UYzknoDWxbeLNSRJ47+xtluf3L24hkJV45D3zyCo5Pb0qrY/EFtR0nX7u2tYZJbDMlqiycTxnhSf Q5BB/CpI/HFzqRig0u1g+1zrGES5ZgI5CMsrgcjGO1RWPjbVNcmSz0mws1vNo8xrmVjGjgHeuV5O CMfjV7UPFOp6dZXpl02E3lrZC4MKy5DvuxtB9Kgb4i2EGm32pXERW2tbdJGQf6wORkoR2IqW48cI kUt5bwLLYRzRxCXcctuXLYHt0p/9t+JrfSbrULnSrSVDB5tslrIzv7K64yTj+7msT/hPNbZFiEei JOGfc7zSBGAXdtC43K3bDD6VojxXr115U9rpdrFAllHd3MF07rON3VVwMZHvTh46+2eJxo2nx2x8 y13RSzORicqHCMB/DtI5Her3g3WtZ121u7nVILCFIriS2QWruxLRuVYncOhxxXTUUUVz/gT/AJJ7 4a/7BVr/AOilroKKKKKjuIIrq3kgmQPFIpV1PQg1z9v4H0eCYzObu4kEDW6NcXDSGOM9QuenYfgK 0bzQbK9tYLdjNEkC7UMEpQ7cYIJHUEVXfwnpL6Vd6aYZPst3Iksi+Yeq7doB7D5Bx9fWrs+kWdzq 1tqcqFrm3ikhjO442vjcCO/3RWUfBOkOHSU3ksTKVWKS5YpGCcnaO1SXfg3R72fzpYplbfHJiOUq NydDgeuOfWpNQ8K6dqV69zM10nmqFmhinZI5gOm9Rw3pVZvA2ivdpM6XLpHuMVu07GKIsu0lE6Kc E4x0qeLwnp0dnf20j3dwl9CbeZp7hnbyyCNqk9Bz2p7+FdMkSVcTqZFiUMszBo/LGF2n+E+uOtUp fAulymKQXOpx3ETMwuY711lbd1y2ckVcbwnpTpIGjlZpJxO0hlO4uBjOfccH1qGbwVodxaR20ls7 Rx3Edyn7w5V0+6Qf6d66HtimoiRghFVQTnCjHNDokgAdVYA5G4Z5rjPEUOs2Xie41ax0dtQtJNI+ yuUuY4zGwdmJwx5GCOlReD/C1lcaP4d143F+l4um26bY7pljKhB8pTpj19a7qs/XJorfRLyWexkv ohGd9rEm9pQeMBe9cNouuLc+LdLtYfA2pWljDA0MFzcWhT7Ke4yeikYFek1Un023udRtL6TeZrUO IwGIX5sZJHc8celef6vb+Jrzxt4ntdLTSWE2kwxgXDSBih80DpxuyW9unvXeaJaSWWgaZaXCgTW9 rFG4znDKgB5+oq/SBQowoAHsKytW8O2esTxzyyXUEyIU8y2naJmU/wAJx1Hek0rwzpWi2b2tlbBI XQRsrHdkDPr9T+dU/wDhCdIKbSbsnpvNy24DaygZ9AGOB24qdvCGikoy2nlslubbMbFd0ZIODjry Ac1G/gzRmv7q+SKaG6uWjaSWKVlO5BgEY6HHB9aZbeCdIsrBLS0N3AI5nmWWK4ZZAzfeG7rj2qzF 4U0qK2aBY5SrwiFy0pLMM5yT6570sfhXRo7rU5/sSOdTCi7R/mSTaMD5Tx06+tOHhrTBAYDEzRm5 a62s5I3k5/L2qqngzS1EgaS9kDR+WnmXTt5Izn5OflP0qTTPCOl6XevexC4munYs81xMZGY428k+ g4FGq+EtP1fUfttxNfI7II5I4blo45VBzhlHBFCeENFjRALUlo7oXaSFzvEgOR83XA6Y6Y4rQ0vS rTR7eWCzRljknknYMxb53Ysx59zV2iiiuf8AAn/JPfDX/YKtf/RS10FFFZsPiHR7jVJNMi1O1e+j zugWQFx+FNsfEmiancz29jqlpcTQAmVI5ASmODmrI1SwNuk4vIDDIrOj7xhlX7xB9BTYtX06YQGK +t38+IzxbZAd8Y6sPUc9aYmu6VJfQ2SahbNdTJ5kcQkG519QO9cxqXxEjstck0u3srW5kDhI/wDi Zwo0h9lJyOeKmfxhrUcNxM/hcCK2JE7nVbfERHJDc8VY8P8AjE61maaygtbLkC5F/FKpf+7hTnOM n8K1rLxDo+owXE9nqdrPFb5850kBCY9ahXxb4ebTn1BdZsjZo/ltN5o2hvSpj4i0ZZLSM6nah7wZ tx5g/e/7vrSX3iPRdMdUvdUtbdmfywJJADu9PrVtNQs5FnZLqJlt/wDXEMDs4zz6cVBNruk28Rll 1G2SMQ+eWMgx5fTd9KdZa1pmoxwyWV/bzpNnyzG4O7HXH0qjc+MfDdncJb3Ot2MUz42o0oBbPTFb gOQCOhoorj/Eer66dcn0XSItN2Lphu5ZLwyZwWZMKF+nepfBurafb+FfDWnTXsEd7Pp0LRwM4DuN g6CurrlviPHLN8PtYSGcQSGEYkL7NvzDnPauB0DXXu/Fuhaeviu1vUtXKpdCYiW5Qr/qZI+hbP8A F7V7PVK+1bT9NkgjvbyG3edtkSyOAXPoK4HxNq+saF4x1rUtKisJVi0+yWZLsuCd00ijbt925zXp EXm+TH52zzdo37M7d2OcZ7Zp9FNeRIwC7qoP944o82PeE8xdx6LkZNLkc8jijcuCdwwOvPSjI55H FBZQCSwAHJJNIJEK7g6keoNOyM4yM0gZTnDA464PSloppdApYsoA6knpSebH8v7xPm+78w5+lL5i F9m9d/8AdzzQJY2ztkU4ODgjilyAcEjPXrSggjIOQaK5/wACf8k98Nf9gq1/9FLXQUU2Rd8ToCVL KRkdq4GHR/E0d1pNnDZW1vaWVwzT3DGKRZ05O4DG9XOf50//AIQS7uNOtmmuwLyETRrGwARY5GJZ crySQF57VTfwp4hk0mz0tYLa3WGH7Os0MgIhR3JfCnrhVQe+ahHgLW4lKRSwiTTo510udW28OAQj L2BJYemAKux+H9dCaS39l2sdzYqs3mLMpVpPLIKkHnOSAG9DWjY+Gru21zw5d/Z4lhs7O5W5+YEr LKUbA9eQ3NVIvCl/ZWuti3s4Csmsfb7a28wBZk2rkH+6SQTz3qhJ4b8Uazq96+oW0Fvp7r51upMX mLKowFYoPmUhiMnkc+taD6Hq+q3T3lzpMVikWnSWYsxcgi4LEHO5Pu4C8H3qlpXh/XrHVm1STR0l ji2xw2stzG0zAKQWaTocZAGecE1Pf6TrV9d2FvL4XtY9OeRJrs2t1GjBlcMinIyQpGTjr0qa10fW dOuLjUTokF9c38bmeFrhAInJ6ZPVSAM4pLPTdfsp9as/7EhNvqIJW4iukVIzsxjYeetUdP8AB+sa JZ20cVqmo7GjupBNOodpF4Me4/wjqvYYq1HpHiC11+fxJHpCSSTyKBpq3SKY1EZTdv8Au8k5Iqzb +GNRSXwnJLBAWsJppLvDD5N8bAAf3uSK6TXNP1DUbIw6dq8mmS/89Y4lcn2+bpXMaH4Q8XadZSQ3 Xju5ldpWcN9kjk4J45cE/h0pNc8IeL9RtoY7Xx3cxOkyux+yRx5UdRlACfoeKitLK+sPGV/BqGpy alOPD4/fvEsZ/wBa/GFqtomj3+oaH4TlgsYfKggtJ/tO8c7UGQynkkdiK9JqjrGqWei6Rc6jfttt YF3OcZP0A7nNeT6TNouseOYg1tq+mXQ1YXwF5bKqNL5QAiBHTK8817NXEeKfDeq6h4kjv7ISSxm3 WNQLgRpC6vncyn74IPT2rD8ayKNR8TI0sfmGw00cEdftLdq2dU8E+I7zxJb6pbeN76CGOQt9m8lS igg8Bejdf4ga0/7A8S/9Drc/+C+3/wDiaz/CXg3xBoNy8+peMLzUA7sxt2QGPBPGM8j6DijxhZed qnmXukSapbG2ItU+ztPHFMOSWVeRkdDWFovg/UNZKXeoWEWk3S/Z3E2GaeMKxJSN26AgAHPODirs FlqWn6bq9q9lqU6Ta5LKhwzu0KqJAc9drFdo+uKo2eieIbfS9asb+yllGtWxuGe3JPlzE4K46qSp HT+7Ukmj6/LpPijTbqG+aWHTEis7uElWuiEJUAj+LPBFXjp13d6Y9zfafeyQ+fDDc2ZjJaWKNcHC 91JOT64qh/YZuYfEMWm6HqWn2FzaLFDG+V3HcM+Wn/LP6d+tWrQ+LdJbWzHpxuri1t444J5QxF0o TgqB1bsR60+/8P6lpyWtlp9vO6atapaX00ecwso5lZux25Ue9ZC6d4r03R7uMyX22eFTF5cszkYf BB5yh2/3Km0vT/EQ83UJJL+a2s4y0cAuLrfK/oqufn56hvw4rQXQ7m100219Y3V5Yx3iG4hCF2nQ IADj+IA9RWLqmhQi4F5pnhzVvm2rbWctu2yPD9UcfNb+vpWxd+Db7UdS1HUoIorG8jvBNFcqh8+V QgHl7umw9OKqX2n28Wkf8SfwvqlvNGYXuituymUhufl/5aN15q3r9tq/iPWbXUNMt7+1tVs3E8U8 DRPOA3MXPKlh3rv9PMZ063MVu9tH5a7YXXaYxj7pHbFWa5/wJ/yT3w1/2CrX/wBFLXQUUUUUUUUU UUUVFJdW8LbZZ4kbrhnANM+32f8Az9wf9/B/jR9vs/8An7g/7+D/ABpVvbR2CrdQlicACQZNT0UU UVw3jdPCaXxuNY1eSw1I2bRxiK9eFnjySAQp5G7PWtrwP/yIegf9g+D/ANAFb9ZniGzfUPD19aR2 lvePLEVFvcHEcnsSOn1rzjRLNdK8SaHYP4WuNOuhO8jzyTfa45AUAyJfUYxg8gV61RXBHRdAuPij q02oafYPILG1lV50XO/fKNwz3wq8+wrvQQQCDkHvRRRRRRRRRRRRRRRRRRRRXP8AgT/knvhr/sFW v/opa6Ciiiiiiiiis/Xb6XTdEu7yBQ0kSZXd0HbJ9h1/CvP77xHqdjd/2VH4ku7q5nSOZZk0+Ntq llB8sgbW+9jBGavXeoeKbXw5M0+oi3vIZZXtjJDH51zCoBBdcbR3yBzgcVgavqFvqVzo3iG/0/Tb zT7iRmuGks1dzbDCg5PICsST7CqWiaVa6/f6pFc2Ok6PBbSNNbymwhIliP3V+YdFHU9eRXRaJpHh q9bW1n0nQpHtnxb7LWMZGzOQOpGawtEtLexczyWFl9qNmJ7Z7mwhiKzlsRmLaAWGcda6m38T6zHt 02WeOTUdNjlm1JmjA8yNR8hAHA3HH4VUj8deJ2aCIaXbSSunmrst5R9oX+6gJyCOm48V3Gqaz/ZV rDM+nahdGU4MdnAZWQ4zyB0FZX/CbR/9C54k/wDBa3+NYPiD4sLod3Yxf8Itrsi3TFf3lsYmzx90 H73WpbXVF1rxlfXf2G8tM+HwPKvIfLf/AFr9j2qloXie4tbHwbplvLFDbG1t0uTIp3SloxhU9h3N enVjeLNMvdZ8K6jp2nXP2a7ni2xyZIwc5xkcjPTPvXG+HPCfiW38QQa1e/6CDIEksYb1pI1iVAoz ngkkZr0uuL8Yarq9jq1mLK6eCwiTzLswmIyEFgBhXBJHX7vPNZfiHSdN1fxL4nlvrC3umh0GJ4Gm jDGM/vzkZ6HgflTbP4reFtB0rQ9NvLm4a4NnArGOBmVCUXqf8K6P/hYnhH/oPWn5n/Cq/hz4meGf FF61np91L9oViAskTKGwcZB6EVa17W9Rtr2S1002EP2eD7Rcz3wcoEzgABTnPvXO/wDCfazcTLLp 0Gl30P7oC2t2d5Zt5wWR/uhR1yR0BrUtPFerf8TGO6tbORre4iihntywicufnXJPLIOSRxVTT/iF NqWla/c21tbvPZAy2abiBNGeAW9DkEHHqKni8aXupW072MdlbNBBHJO16WAt2IyyuByeeAB61BJ4 w8Qz+E77WLbTrO1msbYTzRXgc7iELMo2nIPA6+tJeeM9c0rTTqF7b6dNBZxRTX6wBwxWT7vl5PUD qD17VZvPE/iHStCl1m+tdNe1eNZYVhL70UkcMCfmOD271Y0vxfcahLbzvbww2VysrorE+bGqLzvH QEnt2rMT4hX0V3Z/bNNjS1kYi5dCS0A2bgx9s9fant4q8SXGoaClmujC11bzMGVZSybF3Mcg4OQO K0j4g1q/litdLt7GO4laV1kutxTykbaDhSCS36VQfxfr0Wm3es3Flp0WnafIYrqFZGklcqQGZGB2 gc8AjNas3ia5S3upI4Yf3d8lqm7OCGA5PvzUXhvV/EOoeIdXsdTGlC306RYi1qkgd2ZFcH5iQBhs fhXV0UVz/gT/AJJ74a/7BVr/AOilroKKKKKKKKKKRlV0KMoZWGCCMgis618PaNZMWtdKsoCcZMcC rnByOg9as3en2eoKi3lpDcBDlRKgbB9s1GNH0wWiWg0+1+zpGYli8pdoQ9VAxjB9KjuNB0e6Ci40 uzlC9A8CnH6Uh8PaMb8X50qyN4Bjz/IXf0x1xnpU8uladP5Hm2Ns/wBnIMO6IHyyDkbfTmnHT7Mz XExtYfNuFCTPsG6RQMAMe4qRbaBZEkWFA6LsVgvKr6D2qWikKqWDFQWHQ45FcZ4jttbtPEtxq+na VHf20mk/ZHzdLCUYOzZ5ByMGtLwZBFL4F8OtLEjsljAyFlyVOwciuioooqtc6bZXksct1ZwTSRfc aSMMV+hPSvPtXk12fxv4mstP0NLn7RpMMCyNerHhT5oD4I9SRj/Z967bSdJjt9F0q3vLeF7mztok 3FQ2x1QAlT+daeB6CobWytLGMx2ltDboSWKxIFGSck8e9UtV8PafrE0c1yJ0mjUqskE7xNtPUEqR kUad4d0zSbKW0sbcwQyxiNgrnOMEcHOQeTzWbaeAtCsrIWcUd39nDFlR7yVgpKspxluOGP481efw to0hjJskVo4DbAoSpMZIJBx15ANU9Q8CeH9TmuZp7SRZLlo3maGd4y7RjCk7SOlXLfwxpdtod1o6 xyyWd2HE4lmd3k3jDZcnP60tx4Y0m5nhllt2YwqiKvmMFYJ93cM4bGO9Vh4K0T598E0gYBQslw7B ADnCgn5efSp7jwtpFzLdSSWzB7lSspSRlzldpxg8EjuKk/4RvSjPJMbQFpFKOCxIIK7SMdOlR23h bR7SDTIYLUrHpiuloPMb92HXa3fng96JvC+lzWNpZ+XNHHaJshaKd0dVxjG4HJ/E1Vi8C6BBIhit JEjUgmFZ3ETkfxMmcMfUkc1Zn8KaRcawupyQymcOJNnnOIi46MY87SffFXrXTLSyvL27t4ts166y TtuJ3MqhQfbgDpVyiiuf8Cf8k98Nf9gq1/8ARS10FFFFFFFFFFFFFFFFFFFFFFNkRZY2jcZVgVI9 Qa5aH4eaFbwpDA+qRRRqFRE1O4CqB0AG/gVJ/wAIFo3/AD31f/wa3H/xdH/CBaN/z31f/wAGtx/8 XR/wgWjf899X/wDBrcf/ABdH/CBaN/z31f8A8Gtx/wDF0f8ACBaN/wA99X/8Gtx/8XVnRPCdhoGp 3l9ZzXjvdRRxOtxcNNgIWIILEn+I8ZxW9RRRRRRRRRRRRRRRRRRRRXP+BP8Aknvhr/sFWv8A6KWu goooooooooooooooooooooooooooooooooooooooooooooooooorn/An/JPfDX/YKtf/AEUtdBRR RRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRRXlHhP/kTdD/7B9v/AOi1rYoooooo oooooooooooooooooooooooooooooooooooooooooooor//Z ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image209.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgAgAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAQAB AB4AgAAAAAAAAAIFjI+pawUAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image210.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhPQAPAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAAA7 AA0AgAAAAAAAAAIthI+py+0Wwpu0pmizXnh73X3iFI4mJ53qla5u6Z5wPM70Z99bXkX+DwwKh6cC ADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image211.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhmQACAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAEAAACX AAEAgAAAAAAAAAIKjI+py+0Po5x0FgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image212.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgBrAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAB AGkAgAAAAAAAAAIMjI+pCO0P41u02msLADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image213.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhEwAbAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAR ABoAgAAAAAAAAAIshH+ByxbaIngy0rou1pVLb0Gb2JGf+WHMg45t+Z5xM2cqUuN3sk+9v8vpGgUA Ow== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image214.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhAgAOAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAQAB AA0AgAAAAAAAAAIDjI9XADt= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0030_image215.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhLAALAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAAr AAkAgAAAAAAAAAIajI+pywsPo5y02ouz3ry/BjreSJbmiY6IVwAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0034.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Control of I/O
lGPIO is an 6-bit wide, bi-directional port. <= /span>
lThe corresponding data direction register is TRISIO. Setting a TRISIO bit (=3D 1) will make the corresponding GPIO pin an input (i.e., put the corresponding output driver in a tristate mode).
lClearing a TRISIO bit (=3D 0) will make the corresponding GPIO pin an output (i.e., put the contents of the output latch on the selected pin).
lThe exception is GP3, which is input only and its TRISIO bit will always read as ‘1’= . 
l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0037.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
GPIO ( General Purpose I/O)
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0037_image216.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAo0AAABuCAIAAADNgL4fAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsQBlSsOGwAAHX9JREFUeF7tnUuoFUe3x+O9NwMfCXpVFBPU4EAFRUUD6sDHxInGgYocQSGG 4CMQAuoZqJMMPGagSYhCfBCiJAEdqANRyDXh+hioREXBgDoQUYyJ+AriY+j93W99t2593Xt3V/fu 7rPt/a/BYZ/eq6rW+lV1rapV1bv7vHr16g0lERABERABERCBtiTwb22plZQSAREQAREQARH4XwLy 0+oHIiACIiACItC+BOSn27dtpJkIiIAIiIAIyE+rD4iACIiACIhA+xKQn27ftpFmIiACIiACIiA/ rT4gAiIgAiIgAu1LQH66fdtGmomACIiACIiA/LT6gAiIgAiIgAi0LwH56fZtG2kmAiIgAiIgAvLT 6gMiIAIiIAIi0L4E5Kfbt22kmQiIgAiIgAjIT6sPiIAIiIAIiED7EijMT9+5c6dwKx89elR4makF lmEIlfaKLWZsVotevHiRSkkCWQmkUk0VyFpjPvk2USOf8solArUk0KqfvnTp0tq1a/v06TNq1Cj+ zpw5c+/eve5W37x5MxddWrJkCVeOHz/ujwUmw0XHl89IcnHIkCH8pfzTp0+XTT/ZEGpPtSVuCLky 2RKpwmzfvn07ujnz4zIObwRRSNM0xN6/f3+r2tWLmN+O/mf0icPxBUyrENPiTUw/oUdZFX6ij1kV PpmGFVmXi/Sfhk2ZLJO7LVwHiFM1hVMFkns+BOxm4a/fmpaLzoOxfrJJW8O+alkePnwYuR/LvvVU vgiIQAoB3muZOx07dqxh6WvWrHn+/DnFbtq0qaEA112lJkNRdmXPnj0Ns3A9t56pGVMNCbElYkgO W5rhAsjFixfNigQZ38xUiyLa+vI0n2sCq7dZaYhZU6ZqFWJavJmsXme7CdC1ZsyYYRpu27YtkqtZ RX7/aUUmU1skU42AjWNP7beQidws7j4yUPFb6dq1a669fGG/LjSBMA47VQEJiIAIVEAg/3qaifyC BQsYCBgBzSu7cWf37t0nT550Y4QN5QwQJAZWrm/durVhMJYFwerVq/0yKdk8N9dLWlWHG+LcUqm2 GC5GSWphIDafdOTIEX/M9Sc6rpc4gUwWWa6enh7+HjhwgNJ27dpF7YsXL3b1zp8/P1KLDfcky2gp WSsnkGyaK43FNIVj/tSpU33bL1y4cO7cObvS3d3dcEMBTeg5fjPF+0+gTCttkUw1FXvcy0aufPfd d3azuFvPbw67xWhH42Bp5MiRqcV2dXVB+JdffkmVlIAIiEAFBPL7afMcDHarVq3q16+f6cqAzqjB cD9gwICI9uP+kVauXGnXb926FTdv3759kTIpmfJtAbRz584yiGQ1BB0qsGXw4MHUgouyqK8/70mF kMMi5/mscGrfsmUL6y3CzqnVZRUINA2T0erDDz+MlH/ixAmu0M1sJtHMndBzrJk2bNhg/efgwYOR okJkjEa+tkilmirA3JQOENccrZjHMCHmw6xZs/g7ffp0/lLg9evXzcybN2/adeNgyd2qJmPlR3aj JkyYwFc7duzI2rKSFwERKINAfj/NmhiF4uM4bpX5+OzZsxuq++DBA7s+bNiwiADT/8OHD3Nx0aJF ka/mzZvHFb4t45BLPkPQpwJbsNdipxEmjx8/Zjj2k7+szGGRxTmWLVvGNidegRU5YzqzLlJ4t0vW KlJOM9Oc2NmzZ/n8zjvv+Bkx06xjBmNzvhB3Yr3UvFqzlCqToy1SqaYKPHv2DHuvXr0aV9tFpIYO Hcq3TCZM5v79+/bhjz/+4O/58+cxjS1n9qojsQcW33PmzKF8ErGxn376yTJSFHMg3+WH9wFJioAI FE4gp592c/YxY8aYTgwBRK395HS9ceOGXef4z0cffcR1gpnx+JvzwZy4idjpnHrWo8upvDIZQmkV 2MI60pY4uExQmHexLQaXuDj+XxPDsX2b1SLLtXTpUlueMhnCW0+bNo3BveEyLgFpglaWK8Q0V775 Y9fB7LqZacFwt4JM3RBxhSTM8xrKhCicYHUq1VQBtGKiZvPUZsl5aBPAtduHy5cvW4Pav+wREPyP ELBNaJsL2paTpbFjx/LXVuRKIiACvUwg3x44G12mt9undFecPe64SsRCBtlTp065et2BpniZTib5 K3/7LfXz7du3fZMDDQmxxT+Zlc+WhuebCPD6OpsMDPngJ4c00KL4qTc2dCkkokP8+F6k3Q1mqlYh pkW6olUUaS+bTNg+uqs3fiwxslPumJhbMmVCZCJdN2tbUFcq1VSBZneoMypydMCdDuMD63UDyF+z xb41Ag5jvCi+QqDUw5v5Rh7lEoEOJPBGbpv9294GAnMbNpKS3HDAFUYHS3gCd+jMH2r5yg0lkfO9 iJHLyoyfQc06zYmfdQoxJMQW3/Pls8X3H+4EmR13ikxrGp7YajZeJzRNsxO/1O6OH0eYJ/jpBK1C TPO7ogPoX3THm9Et0tmckg19sDt33cy7cz1BppW2iOjfjKqJJWCP36epfjqSxScTmaXFizIayd0s 99ChjCIgApkI5Ix7cw/biONGN+LYbHeR3Ekx50GJodlOJ4l968hJFidGCXa2OX5myo4O4e8jIT5T IFOKhxDDDaG6Um3x5xzEde3cHOFft3EYOCnJZBFlEionxu4fNaD2zz77zKpz2/CBtaeKhZjGo/Px ctzT0oSabVfVBXWTDyfbTq3/4FO88ASZEIXjBaZSTRVIJunuI9vpcAFtP4DvNkFSG6WhwMSJE/Nl VC4REIECCeT30x9//DF6MGL6P2zCuJDwrG2q3na2mY20yO9v2FZlfAbARTcDCPwQP+BWhiEolsOW CB/cg50zYuMw/oMeCTCzWsRBJBwe54ZoSlfsmTNn7LMdUyo2pZoWcULmh2wDlZCsv7thiJqdJiOX e9iP440NrQiRSVU4XnIq1VQByuTYB/dUw2MZbl5ru8huTWwnP8jI4QbOMFjPwUab/gYe4LfS3nrr rWLbXaWJgAjkIZBp9R0R9n+TxI94o4dFzBoGIRuG41wA1i16bAs24RctWtE8qyEhtsR3fLPaEsfl ftODWELyT8fYSO3sCmwah932I0kR7G4LMxJU9+tycBr2P5MMMS3SKEbPbYK4+V8kDu8i5LZD33Aj 3HVIP+4d1zZ5nztHW6RSTRVIjj87Ju5O8dvLUNCg7hk2Pvu9yLV+PO4dgV/gvaaiREAEshLIvz9t NTE4ug1pG/gYHdyZphx+mjIZPpx7toGm2TZqVmsT5JMNyeens9rSEJfblPVPACV4RGdjSNP4YBni Q7Bb1a37afSMmBZpHfNhkQkc/iPeiOZU/Kmhz4dvI/0n7subyUQ2aHO0RSrVZIHUfWLn6TGZ0IJ/ lIHPFmywhI3uUF7q/rRliRwlKfB2U1EiIALhBPog2nDQz3SRIJvtYvLQbaaMCcLE+gjWEf8M+QWl oiotwxB06xVbjElWi0zVYpsyX+ugCT8aj3fh99HyldA+uVKppgok2EJ7kZ0oevz0Brns20z3EZF2 Aub4eH4ipn0YShMR6FgCxfjpjsUnw0slwB4/RxMIdDf0QKVW3cmF89w8z9ATMilw2t3JPGW7CLRI IP85shYrVnYRSCWwYsUKZNxPuKTKS6AQAhzKIzAuJ10ITBUiAq0T0Hq6dYYqoUQC/NYYP7CV6edL S9SmA4omSM7PlPJEVpX7TR3AVSaKQH4C8tP52SmnCIiACIiACJRNQHHvsgmrfBEQAREQARHIT0B+ Oj875RQBERABERCBsgnIT5dNWOWLgAiIgAiIQH4C8tP52SmnCIiACIiACJRNQH66bMIqXwREQARE QATyE5Cfzs9OOUVABERABESgbALy02UTVvkiIAIiIAIikJ+A/HR+dsopAiIgAiIgAmUTkJ8um7DK FwEREAEREIH8BOSn87NTThEQAREQAREom4D8dNmEVb4IiIAIiIAI5CcgP52fnXKKgAiIgAiIQNkE 5KfLJqzyRUAEREAERCA/Afnp/OyUUwREQAREQATKJiA/XTZhlS8CIiACIiAC+QnIT+dnp5wiIAIi IAIiUDaBPq9evSq7jsDyN2/ePGjQoA0bNvjyS5YsmT59euSiEzh+/PixY8cePHgwb9685cuX9+vX L7Cu3hWrk6XYcuPGDZ/n2LFjFy1aNHXqVHfx0aNHQ4YM2bRpE1d6enrc9bVr19J2e/bsGTx4sF3c u3fviRMnDh061LsNFKm9E2wMBy4adSVQV7tc30410Eaq27dvMyi11WDVRutphvsnT55ExovDhw/H L5oMTnrBggVTpkzBl+/fv993AOGDTq9I1slSbLl37x7zJJe4Mm3atEuXLjm2v//++4wZM0aNGrV1 69YXL17Y9evXr+/evZv25VsnSTsOHTq0VxolodJOsDGcuWjUlUBd7XJ9O9VAG6lGjhzZdoMV6+k2 SYsXL2bJFVEGxPGLyDx//pyvmPWY/LVr1/j34sWLbWJLshp1sjRuC01DX1+zZo2DsG3bNlqK1qGN aCm7TiDExPjWrjx8+BABrrdbI3aCjeHMRaOuBOpql+vbqQbaSIV8uw1WbbSeDp/RI3nnzh3+zpo1 y3KNGzeOQd9fw2UqrZ2FXztL2X0YMWIEAW1H9ciRI4TBx48fz5WbN2/adfwx4fHZs2fzrV2xhfWY MWMizXHw4EFCJsaBtH37dgLmbl3eK23XCTaGgxWNuhKoq12ub0cMtJGKb/MNVuWNVK+rn75//z40 /RgpvoF9hfDB5XWRbH9LHz9+zL6OJaLZ7DETzcazGmGunDt3jn7PLcF89uzZs1zEyxL0fv/99ydP nsy3yHCRqBSTLaZckaZZuHAhV9atW8dfNju6u7u7uroqPovQCTaG3xGiUVcCdbXL9e0EA91IhXC+ warEkSo83lW2ZKZoMKsxaBIpdVoRHm8YIS9b7Rzl18lSbImP724/AjgHDhxwMXCu44ldWMmaj+zI 8KEhFn9fg6gUwi5OnoN8viydYGM4GdGoK4G62uXHvRMGK3+kIku+wcp2YAsfqd4Ivz/Llkz2XqdO nQKcJZx03E/jDOrhp18vS2k1Ejqb2vRR30nTZ2gXt+Vsuz52nJJc1qNoNWTswAGFcCVCwMS4ixDA zSNZdleMlN8JNoYjFY26EqirXb6fThis/JHKrSWSB6vKRqr2insTlIjPd3hYi4t//vknT+xYYs4y fPhwLtrgbokNUZN8LVKdLOVBLLaZSatWrcKbrl69mui0tYLFtydOnGj/2q7PrVu3ONftAuMzZ85E 5sKFC3w1YcKEeFtb3qdPn/Ziy3aCjeF4RaOuBOpql+vbzQyMjFSBg1XEK5U4UoXPo8uWtFiBv1qy GELDA8B2NtiWX6S2PSrcEFqdLI1HQSx6xjzUVsYW6HaJSSsJAXfw204VcNGtsOPQXDSJ0qqPmnSC jeF3t2jUlUBd7fLX05HRww1W8ZHKYoFZB6uSRqo2inubhXAhOspnwBlEfxPaH00gjgCjPK4dz9cr EdHw0c2XrJOl8XvbWYfJtEtkO9nC1yQfCG3HlUjA3AnQvhaRM8fvz8/y8c+aqxNsDGciGnUlUFe7 Evy0G6ziIxW5sg5W5Y1UbeSn3SjsYhQM327VFR9H8N/u4AOSr8vD02aI+ZsaWNrwVIFtVNsU1cU8 zHC7MSKzWpNv1oIWfrAFOom8FNts9hbub8IlO8FG0RCB2vfzBAPjI1WOwaq8kaqNfjfUnBb7BPak LCfj+V0Y35k1/IwwWZCs+EGdVMVSBephqT3HHIfPM1p9+/Zl15mHryLf2lf+RQp5+fKl+/XQCLqI vAlHSkil3YpAJ9gYzkc06kqgrna5vp1g4Pnz5+fOndtsHAscrMobqdrOT4ePF5IUAREQAREQgdoT aK/z3rXHLQNFQAREQAREIBMB+elMuCQsAiIgAiIgApUSkJ+uFLcqEwEREAEREIFMBOSnM+GSsAiI gAiIgAhUSiDnObI+ffpUqqYqEwEREAEREIGOIcCDYc7W/H7677//7gRiAwcO7BxL/Z5Rv8Zlcllv A///ru4YSwvppbXsGLU0qnN6eKT5FPcu5E5XISIgAiIgAiJQCgH56VKwqlAREAEREAERKISA/HQh GFWICIiACIiACJRCQH66FKwqVAREQAREQAQKISA/XQhGFSICIiACIiACpRDIeQKW02idcwq6cyzN cRz6+PHjvCB89+7ddE9eR7NkyZKFCxfaz9Zv3rz5xo0brtsOHTp09uzZ7tvt27c/efKkp6fHBPiJ /JMnT+7bt+/w4cP8y0uxVqxYMW7cOJedF67Ya7VcWr16dcibWpx87hOwCTYWbqZv77p167766qtM Nlr23JaGjDFFtXhqo9Mljh49eujQIST9fhWiZCaZrLgq6w8+genTpy9dujS8M2Q1CmKV2eW3zsGD B+/evbthw4ZSm6x66wzmgwcP5s2bt3z58qyvidJ570z9QcJJBPDECxYsQIIXtdIpx44du2zZMud6 cdL37t2jm5IYZRDzv8VJOy/OeLR+/XqKogSKIvHV+PHjuYdd9bdu3dq6dSvXXaqmbZJtRIdizXRG ffHFF0xZ7A0/7ZOKanFz0smNTkfasWMHHprEB9evepdGlf0BPo4AL3Tq6urijUwlmV+lXc4EnBlj AkNBSUa5Yiu2DrsYzaZMmULX3b9/fwFdN/ytq74k9rPK7ITUUZZm6gw4ZuDw189l79W2d07H3/Zq 7123d4qzYkbA8jZ8/7S9zNW9gBwZ3hGbScOIMKVlzZ5qY+FmmobuBfUJ719PsCWHpSFkUmmEt3hq o9t7yt3Ly63qkl46Ho4rlUCB/cEIuJeyY7tNiENaygJjgZKIVWmXaYU5doOTIm+jD1G7na17/vw5 6jFemSGRdgyxLt582p8ueyZX2/KZJHKDzZ8/37eQyDa337BhwxqaPXnyZK7fv3/f/5Z1FRFsck2d OtW//sknn+CYf/zxR7t4+fJlXhDLeuL69euVrTJz2IiqrZhJdgxkkeFcdft0oBw0GqLAotRGZ8uD AY6Xl7eP+WiSg0Du/kCklLGeqJIRsLezP336tAwgVdpl+hMeOHLkCLMQ5nZlWOSXWbF17NBR+6xZ s0wHejLj2KVLl1oxU366FXqdm5e+eO7cuZkzZ8YRsNXk7yv7AmfOnOHf9957z79o8018cKQoxqlF ixYR67brbIGzgT1kyBBGrv79+xNZKpt+PhvRqhUzcWAfffQRTto8XPukfDQaosCokEanF9EHqJe2 ZqhlJme+qrdSPgK5+wNb0atWrXL7mtbhI3PZQlBUbJfpzEbY2bNnyzAnwqR662wdwnEcp8mIESNu 377dSmPJT7dCr3Pz2op2wIABDsFeL7l9ZTwrO0OW2KqxdXPkLMyzZ88oBNcbp/n2229zkTW0TVEn TZrE+E5iHc/2T4tT1NTGC7SRcooyk6JwSJjJTmSqehULBNIIQYHmIY1uBrJPT1szKXz33XcrNjlS XSCBYvuD6UBXBwLdvgzH1it2VTblqt4669t+4thNi11XfrpFgMr+TwIn/i/hjO2Mbryzst0YP9jp O/tIFovycUvj2pmQfvnll6yxSHh9rhM3q5h+qo3owz2Z28zTp08TP9i4cWPFduWrLpVGMxRUF9Lo ptWuXbtsA5W9APjkU7WkXKkEWuwPpjYr6WnTpuGkrdtXkKqxqwJDGlZRvXWPHz9u0Vj56RYBdmh2 WxNfuHDB2Y9vtuRvOBHNZoHoErvXcV6jR4/m4pUrV+JfsYnlSqNGFwPkA9db7/3JjRdoI4UUZebO nTspjcexiD0Q/eYzf3mArR06WSCNEBSYE9joznCOQbDJxwjbiygCCRTYHyiKKBUraTaquYmyPtsT yKpX7ArUrXWx6q0bPnw4attpMks8nTVo0KBWbJGfboVe5+ZlyCCC3d3dbRHpVhLLZdYKPH8SeeaE xRMPJq1cuZLCifvxQKFfF1+NGTOmlXpT8xZoI3WFmIl7ZkS2J9lsw56/7iRRqsKlClRMw1qcI3Wl GpWp8IoJmJMmOkUsgY3qTKpmEq7erkzqtShcvXU2B+U5UtOcYY3BqsW7uB399MuXL/8rS0K+xbbs reyvtaV2HpudVHajGU9JeNa1a9fSKbPuxzAY0QQffPABIT4rihFqzpw57jy59XJ8GJ2eDSe+5V97 dLvUVKCN6JlqJjAZkS3xMy9k4W/kRH2p9iYXXiUNWpze9c0337gWZ4vamPRiqpIAdwEdxuJJ3BeW Spq4VGlX9c1XsXU2Iyc2xrqCwYrfbqInx8/JZuLwH5mkKxP+7bffwutyJ+DDs7SP5OtrKRPVX3/9 9dtvv2Xj0PGkRzL9z+paiE3h7HHDvuvlX37Hx0qmLh7h+PTTT93xb/aAm50qL7BxC7QRrVLNLFDz MoqqkgZ1ff/994T9OeFvtlTT4sncqiRgzzQz67Vf6LPETVFGt6/SrjJ6Zvu0mmnC1hVzrFGjRvGZ IRGf3eKehX43NKXbDBw4UL8bmsyIOaNFpOmL/lluO2nZrIM2/JbFE3s55tLiGV1FDb9Nvf9z/JKi K7OZjQgUbqarFBr5jsW2YmkqRjO5qBantIRGb7HFQ2xBJgeuCvoDVcQjhX379g0c8XMYldCy5fXz 5NunWQu+FtbZerqQwUp+Wn76nwSYkdjv4NQ15bu3X0canWNpIa1TS1y1NMo1d72ti88d23F/upB7 T4WIgAiIgAiIQA0IyE/XoBFlggiIgAiIQG0JyE/XtmllmAiIgAiIQA0IyE/XoBFlggiIgAiIQG0J yE/XtmllmAiIgAiIQA0IyE/XoBFlggiIgAiIQG0JyE/XtmllmAiIgAiIQA0I5H9+ugbGywQREAER EAERaEMC/q9Z5PTTbWhVSSrV/oF6x632ltbewM5pymJv9lp2jFoa1bE9XH662FtepYmACIiACIhA kQS0P10kTZUlAiIgAiIgAsUSkJ8ulqdKEwEREAEREIEiCchPF0lTZYmACIiACIhAsQT+/fPPP2+9 RN7exUuIx4wZM2LEiEhp9tWwYcNGjx7N55s3b7oXypokbz7/+uuv//tfEy83nDBhQuuKqQQREAER EAERKIpAK87OdDh+/Dgub//+/bwxefz48W+++WaqbsWsp3lPKi8zf/bsWXJ969evP3PmTETm+fPn N7x08uTJrVu33r17N1V1CYiACIiACIhAlQRacXbmpBcsWDBlypQlS5bgqnt6ekKUL+a8N296Z5V8 6tSp2bNnJ9SKZvPmzVu1alWCzObNm/HaP/zwQ+Dr0EOMlIwIiIAIiIAItE6gFWfHWrx///579uwx J0gsmfX0xYsXp06dmqxYMetpq+PChQt4Yp7b4y8a2EU049/Tp0/jgFlzM4Pg32Y6MddgMb1lyxY5 6db7k0oQAREQAREog0A+Z3fnzh2UmTVrlqk0bty4GTNmXLp0KVXDIv10d3c3PvjatWtjx45lmmA6 uSjBokWL0Gnu3LkbN25sqBYenSDAtm3b0D5VbwmIgAiIgAiIQK8QyOfs7t+/j7ZDhw51OnOi6/bt 26kmFOmnWc53dXXhZVk645J//vlnv3qW9ug0atSoZmv8o0ePnjt3bunSpalKS0AEREAEREAEeotA PmcXP8LFmjbEhCL9tHPARK0nTZp0+fLlEA2czI4dOzZt2jRy5MhMuSQsAiIgAiIgAlUSaNHZOVUf P34conaRftqv7z//kUI0MBn2s1lMExsPzyJJERABERABEehdAuHObvjw4ajKI05OYZ5AHjRoUKr+ Rfrpv/76y9XH41WEuFOrdwJXrlzhM7va4VkkKQIiIAIiIALVE8jn7PgREVS9deuWKczRcc5Wh3i9 Iv00p8BYFlP33r17WRy7U20+RILh7ii4f/3q1auLFy/WMe/qO5xqFAEREAERyEQgn7MbPHgwe7s7 d+7kkDXnpvft22dnq1OrLtJPUx9TAx6kXr16Nc9Sx49tcxp89+7dyKBiRDOemQ7cUU81SQIiIAIi IAIiUB6B3M5u3bp1aEWwmQepjxw5gs8OWZ0W8zsntoRnssBfAu6cBfPr5mLfvn3tis0jIgJcN88d onF56FWyCIiACIiACCQTaNHZJfjBZvUW5qfVtCIgAiIgAiIgAoUT+B/05S5b9fzTXQAAAABJRU5E rkJggk== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0037_image217.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdhwQHEAHcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAAwQHEAIcAAAAR ERELCwsDAwMTExMMDAwKCgoODg4dHR0ZGRkaGhobGxsICAgYGBgSEhIUFBQCAgIXFxcQEBAPDw8c HBwWFhYHBwceHh4EBAQBAQEVFRUGBgYfHx8JCQkFBQUNDQ00NDQgICA1NTU3Nzc9PT06OjokJCQ2 NjYrKysvLy8oKCglJSUyMjI8PDwqKio7Ozs+Pj4/Pz8iIiIzMzM5OTktLS0hISEnJycxMTEmJiYj IyM4ODgpKSkuLi4wMDAsLCxbW1tBQUFISEhHR0dERERcXFxXV1deXl5KSkpNTU1CQkJYWFhZWVla WlpQUFBDQ0NVVVVfX19MTExWVlZJSUlTU1NRUVFOTk5LS0tGRkZAQEBSUlJPT09FRUVUVFRdXV1g YGB0dHR1dXVtbW1mZmZ2dnZvb29ubm57e3t/f39wcHBiYmJjY2N+fn5sbGx6enp5eXl3d3dzc3No aGhycnJkZGRpaWlqampxcXFnZ2dlZWVhYWFra2t9fX18fHx4eHiNjY2EhISDg4Ofn5+Tk5OVlZWI iIiGhoaWlpaQkJCLi4uPj4+Xl5ednZ2AgICRkZGenp6SkpKZmZmMjIyCgoKcnJyKioqBgYGFhYWb m5uampqJiYmYmJiUlJSOjo6Hh4e1tbW8vLy+vr65ubmmpqarq6uxsbGoqKikpKSsrKyqqqqlpaWh oaG2tragoKC/v7+0tLS3t7ewsLCtra2pqamjo6O6urq4uLinp6eurq6ioqKzs7OysrK9vb27u7uv r6/S0tLBwcHY2Njb29vQ0NDd3d3f39/GxsbU1NTAwMDV1dXZ2dnOzs7e3t7c3NzHx8fT09PW1tbR 0dHMzMzJycnIyMjLy8vNzc3Dw8PX19fa2trExMTKysrFxcXCwsLPz8/+/v79/f35+fn29vb7+/vw 8PDh4eH39/fy8vL09PTm5ub8/Pz19fXu7u7g4ODt7e3i4uL4+Pjj4+Pz8/Pv7+/o6Ojx8fHr6+vn 5+fs7Ozq6url5eX6+vrk5OTp6en///8I/wDBCRxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtKnT p1CjSp1KtarVq1izat3KtavXr2DDih1LtqzZs2jTql3Ltq3bt3Djyp1Lt67du3jz6t3Lt6/fv4AD Cx5MuLDhw4gD/5O4OGPjiI8xRk5MOem/y5cFYsasufHmyZA3dxYNLvNo0wM/Z1b9+HPn04tZy2Zt EDVn2K1dl9ZNe/dt3Qhv+14dWXhlqK57mwY+kbdq37AL0u49nHj02diNj96O27Pz5M+fV/9XSBo8 wXCojzv9Jw5YMGHj/pEbRoxYsXKNzRkrPf8YsnOgOSSfMMkgg85i5yhTnznpLKbOMOuUxo4yx0AY WTv1ETPMgeCMg0yGxCzTmTvBMPPOYvA0gx45zjwDDYDnfJhhNPHIAyIx7MQjozLvlFbQPMZECE48 0hjTWDzR1OdOg+CEY0x9w9DTWD0ZGsOkPcCAuN8/90xDDT6xrVNMNdLEF1w7wJwokDj35KMPPeDI U0w4A9GzDJ3qrfePNEAEAUY1/6QThhBDiAFKg/8AEshlw4xBRBHWpCfgP86QEYQRoVxmzhGEChIM Of8MUkY8lz0DBBFmNLOcKEgQesYoDTb/AwShQwwxBmb3oBFEEqRcRkga6/xTjBpKLHHNP/IwMYQQ hK6xTyG01joMPk0QCkQpYBL0jzWGAPiPO0kIghk+bBDaBjaXkSMIoW6Yws5lp9D6Bjb8/DONE9Ee 8s86qAzxBCHemhOGElBQI+luqTihym79oLIKK62EgwiwsQ0TBn55NhUoHAB0HMc86YDQcQBMJHOZ EUjsm4gAHcvRToALsafIAB2Tcc8/yBDQcQhzRPMPHSI0iA4dHRewCKmaudIxAAZE8co/xBywNAAI YLZKAh1LMcw/YDxBJyNSAyDGPNlMDYAA2dRh9jPFFLB0EqzgqVkjU3ibDABKoEepAh0v/2CHkfxQ 0TEDVZj8jyNLN3CHNP+0YvYT/2gjRMcjoAtOIw50jIeUtaljBQBXwHPZNlgEQUIe4eihBKilDVMC NDBnTNQ/zyCwtAnWiHPC0g6oUdoSUvwDz+4dJzBK7An9kw0KicPyjzIPdAxBBIX8I8cIDW5je8cp +Jz01A/Q8Q8wEkytwmXvZLH0AYj8swcR4NhDBAArAKAAMMUYMHUEy6g9NTfFCBsAJpAFe3gnDnPQ m9JYYEBKUWBpFWiEfJCwNAmMAT2IW1oEHvGPWJjtCv+AxAEMQAELyOEf88ACAEwAgQYcQziXkUX5 JjCLy6ziAAUQAAnCkYcngOoy5UCCKv8OJruicMwIeUgCAAAhjha4YA1OeIAKpAQGKPyDFnjLgxEA MAYhQeQfkQBdHqYAAD78QxgXeMEaqIABJ/wDDVo4BzjQAIAt5GFykvAMKwRgBTZwwQIkIEc0FtCC PJCBDPrq4ABgkIc9ZKAL5+BDEv4BDQcIIRKfq0U7ypAHCSAgD33wxxoUwAZDksEc2UhADMgwhQVI wBme4ccWJoGZNNgvG5cphglOAAYsQEAK4wjHFUJwhCokQAZGosQEgACGyUXhH7aYgBBMWYlwHEEB aLBEAFhADm1UoAuP2GL7fvMPPxCADRpQw2UWsQEhYMEM4BhD8Dgzh0sQsYhBCdQMOMD/jHqEYgB3 sAcNjuCObjhhAMvg2hL+oYcMlKIezAhBDV72RXBgYQLBqMc1LHlGBGDCHbZwgQ3W0QYtkIMdI6jA MerRCgxMwUf/aEQEbmEObLTgBvbIxgIM4Y961CMflykDBHBRD2PgIAfvOMMkc2GBXKCjFQGwRDj0 UQ8dBKEe91jHEkpgjp7Wgx/MUAAg8HENMnQgGJ7xxw5ygZk9DMADrbiMM2QAh2KIYgQEcMc/hECF bFhjDhiQxT/6oINrFOMWKwgCOEbxgFp4tRzxqAEX6FEOK6QgHrawwCnQEQoCUOIf4eAHni5jhS7I gwoxKM0lXICNZzDjH5JcBzn01gcm/9wTnz/5hzkisIfLjOMKjtDHDsxwmUsAAFBgaMI4dlCDE/2D DQdABvJqUw4VUOGHR8jDOoSBAAnGwwkCQIcjtAAOeBCgCpdhBw1cwLl/ZGIB2gCtOeGhjQXU4jPk SAIPbvZGK9RDqWAEQDH+QQ8yaAIzLgDhZbyQhc9wQwG4uMwsBLAKzzCjB8f6RzyQwIIP5JFSMkjE P/hxCQ+gKwtTuEwrPmCIcvKgQecoggnEYYsKbGMz8+jAHC4DiTrwYxAAUMY/0DGH9rnjGezQjDhW QIZ/zEEB75IDFjZjhyrkwxtG+kcifAAg3BrlH954wCAwI4tX1GMEebiMJADgDa4VQf8eHLADZnTB gF1MVzrCoEAi/3ELXggSAYS4DBsM8I7x/mMbAqjeZf7wgISWphIKIMZlMjGBYtT3w5gpBwueWapS tMMNk2QEAHLBj3V0A1CXsS5mppC3zUxDAWP+xzUkAAnPmAIHev0HPnxwhhSUQa4ySKQoJlDrIXDh Mv5wARgGqwJ/XAYOCBiHLTRwi82kIwBcMCA8YvEPVgAAF+IIxzNeOIo/6CM29GCA+PDQAWdXGR/4 gS0VVrGHQsSHFBHox529nJN/uIIBTwOiPfJxgib/QxMAeIb7jmCNDkQCM92AACP2nZpQGIAWmJlH j5CBgIc/twD2SEMQ/oGKAfQCM43/wEA3YgNpxv1jExzQhzAWsIh1pCMdpDJHAByBmXO8gx92mOQo LMAFb4S7Hbu5gRAwYwQSvOMc83jXq2P9Cg2YwjOZmIGz/8EMCXBiC/Oc66L+MYwDwOEfQ7hCadbR ghEwe+t54OYoNJAKm6eDHPwIwgU4oW/8vEIASHhFPMDxskHQQN+l2QcAzv4HCJjjuUoQAycugwcU kOAANPBZMhwwDYrz2yb/wAQAXsuPc8y2HSIAAznmEQYI+GwPUfAFAFCBGWgAQFzd4Q0qAHA8cpi+ XtBAgCXW8Y4uLEAcbRj5IwCQ4X/kAgCgiI1Mb6EPaAgBBOIYZBRowQpXwPIbAHh4/zjiwQ9ygGMO k4SHDADgBllQ9DJKxwwQVFAJVLgiU2FVxD20IQcCME4zbzADDQQKAOALbiAD7yJ2l7EPB1AHaHcF 6BEOQnAB/5AGMtBP3JACVvAPo+AAeEALroAKzoY4NNAJ0oUsPAAAczALzrUKQbAPsWEMGZAG/wAH EDBgUNAAEjAC8SUGB4ADKeABYwYNC8BtnycUlxEHAJBQ9WAN0JAN+EADSYAMoUADCaAO7hMFHoRx jLJ4xIEdu4EIAPBC+TANWSIO2YAAaiAMqbAALwAOfjBykwAAwBAbjjNElzEIEtAHtXAEEsAF4KAM C7AAPlADNcBtdzNm6UAM0iAN9P8gSSPmBQDghngIf0t3GWAgAD/QAzVAXNKQAEWAC3TAATEXG//A BSxQLyG0Ac3gCBZgJApIYA/wTEIAgaSlAP9ACQ/gCY2wBQyAB9D0ASFQiCLwDf9gCh6wASgwBs4m DkAAAAmwA73yD92wCTdTGsYAAIvCMfE1BGezAdVTBgzQCblgAHpAKSaAcUeYTz8DAEbiDXZgBnCQ DUGwAmNgBAMgBO8Cex50CpjRDGLzhbLRJJeRCADQecRwB2eAB/3QDBcwAmowOeqkK4kCAP/HgQBQ YZehC8oIAwKQA4GGDAtgAQ4gARPgj6OQkf+wD3QwBnhQDXKQBKXBCjPQMUkAg5b/2FYZIAEBcABG QEkJgAAxQAEPwAf1shslwAKY0QcrAA6ksAErF4vpkABHsFe2+A9GsAD/8AkewAIlgAE+kAocKAAG 4AAT0ADoUgxMUD4EoJG4IAIdQwWi4w/K8C6l4Q4A8An/8AcAIAz/AAQ0cAcfIAXkEAYIcDMXMAQr mQIStI5AcRlyAACqogsVEAEU8AxCwAAJQAAMIFVZ2I+YUQwA8GuX0Q7CoA2omZqouQzFQCr/sHyR MgqVGQHW0A8cUAAKMAF09w9vMHKAYJGxcQsAMI0kNwAG8AEAcAaiAwwLMANscARFkCmyR3vLIAMR EAGD8AZJECz+UAgRAAAHUEM5/3kZX+AAzMQEegkNCWABEwAAXWCMnfECIrBqI+cNDhBhsYgODVAE Vqk3U5AAL5cBOQQAmHAzsXAAMcAGX7AHr7UOwfA5ADAFDXIPkrAAAPABEbYO4iAk35KXewkAPhMJ q1AMLcAB9EAHLYAeJkAF/9AONOBxjukTl0FHflkLC8AAAJAMQqABLdACRWCMWSic/ngZ2gAAf4AZ o6AHh7SkTOoIL3NwAHBjo4AAbvMK6nABHEACMGAGjwcHvgmcpUGAlcgKBQAF6iNiULMAbuANohAK RtINAFAJZ8QDAQAAk9AHSfBD8HAIUgAAaTae/8AEP3ALyWALkUIMCRAETDAATf+wHP8wAiRwGelQ A0+gDLIwAX0AYmN3DwHAn7WIHuBwBQ0QehJwBDswapcBCw4gCN7QC6KAhZdhCmQQAgngM/+gDpZw BQCwbL+Bly3Gl7ADDVLyB68Uk5eRA5OUDjAgLp4Xoy9RkQbTDWIQAwAQDEQAA6VAC8/wQ2DgNADg CpgBfpiAGYwQAQ1wruiKrloAq6WQAXYGDH+gQsHQDwgABL9AC9CAHl76D4UAANyAGacAAHFVGo3Q AMGwYrfyD9+wAI/AD/EQD6CiDBCgCCvpCEUAAIvgB9spDvFhD7vwADOQdJf4D1MAA/bgsOJgLwpA CNHAAybrHTgAOf/QDw+QA33/MAcRKiwyMHbwcABylnYR2AUmUIEmEA2QsAEU+w+7oAG/4LDxsA42 Fw7nUAxskAGtwA/x8VQJ8AM/5Bl4Oa58KWSY4QoVMA1iMEnhsAKTxA5a0Aa35aw08Q+4sAG88A/+ wAxlUK1B0ATtkA+qyDVH8A0WsAiYEQoe0Jj/8AtUkASM27iMKwVkUA+XEQwGcGAYMofBIA8IQAnl wF81qAX/ELDH4ysdYDCl8V7uMA8p4APntrByuhn9UAHAyA7QgAsY6wdqZw2xZg8ggAAp+w/xdxlQ ILOYYQ0KMERrEAEGY4oz0AWXkQ0FkAEX8J2QYww7m0sVMK5AC1pBADl94AL8/7APCYAF77K0vrAZ 71AJtgpkpwANEoRCLxAB77Ic5gAAfrCXGDBgmJELCXAMZYC2avsP46AEm9CscNsS/1ANB8Cs/6AI ORoE5/gZYFAE+0ABvVWQDCAKsWEOsRAKHvzBHuwLr9BAw5AAd2A1APAKmoummAEHoPsMSIsZeqAA evVoCfBaeQAA1ea6n2EPItAFwfIP1GCnfnBsjEACiEIEC+AtwfsPw/sZxiunugABLWaKJxADKvYB C8ABIeAALMAO8iADlnAZvfAApYB2G/gP9VADt9IHNyC5WRAAdbgLFZApmOEOJ/C+tgAAqYALJSAl /yAFGjAPtlEP6vYPYeAB9/8gDtigb7rQAMwgBsGTtpOEDlqQRwfME7eaAF3wu2GQwkFgcKaYXOcQ BDZwbv9QBRKwNWtiD/PwyrAMy+XAD6WRDj3QA4DsCSmsuR7XwloQDuqQAENQGvPAAyxAyDb8r7t3 pMSwALGGGeQwBY12Gbxgp31wbJfAALa6AxfwQ00MBUQAxQqQR8oQAEHQZZexAydwGY4gAGmgCJ7A BBFQDPggA4BwGX7AAMeSBejVOB9QPX6QA/IQegCQCf8ACxUQcJriAQk7CzpcCBYApDHQAOIgDrMc G+yAADtmBwwgDupABGylCApgDHIwyQF8DyMArpm8E+zRBQJwCu5wCyPAANT/oAUO6B1g8JNiAACa YAzBUAE0YEC5Bx5HAACS0AzbQAUZMA3wgACE2xkuzA/88AQdcAvuQAoAQAYwVQkJYDDL8AEwQA6C aAai0Au9UA2gsgkAcAnLAAxrAACQ8AYgVAkAcAjGIAoa0GCAygQ+EAtl3Qv58A3jjEItIAE1fBlS AAKlUQUFECX24AoZEAr+oANsIAq30AML4A/ggAQl4KpRgAEmE9Ci4w0Y0Fux4ACY8NfS8A4LAALA 4Ax50AHI4NCO0AzBoACguwylwCGLQQRaMAxCUAPIAgB3UA9MgFNqYNKTJA890CsrzdKWAAAsAAhY IAAsoA0vwAaOGgXolQoA/zADkwAFAOAIcpMdy+FtPGAISyABF9APxbAAnuAdfxADANIGACAFgNAC AOCPmpEJEQAo8bADCoAP2pAAFyACCC6hh3YAIeAHbhABAhAMYRA83+AAKqAIUwABY3wZK6CYl3EE BTADCC4C26AMCTB5/3AGAGCEpaEGOxAhJJAAqngMAMAJ9bACCyACM7ABRjBbVzABCK4BKICFaGAC j+cPISAC8RAKArACI24G/LAHHTAGlKAAx7wMFaADmwAEAzCus5AEkrsbxOoIOkBc8+ACM1ALK4AE 6+AGUxYOOhA8w7ACgvXcOgE1OAAAKbhT98ADC+UdXqCYzTA/KgAAKvCvjP9RDFpAPwAQAGqQIAWg l51hBl98aD0AAC4AAFrweJpRCAywcv+ABwBgC9qgP0tjAFJCDxerAB8wAEygDmxAXuxARjcAATkg aZcRASXAdGZDC9FgABwUugAQB56RCIFkDwgAAphhDhtwB+aANR2zAkNEDurTMRJAMXRQAUayDlcA AdrQC2Yj3KoqjADgtuJwsTkwAIX1D4twAJx+GaPgARegAXGViwdQ2IQLBlgcDhoAutwwASZj5/0m DoswAQUAAXbQDOlQAjvmHXWwUORwClTqzkgDGeTgCg0gABjABK+lDDrwcJ3hB13wLuNgCTgUAZAQ LJoBCRzwr/9QChugC87/YAMZsDQqINSj8AMdsAFB8ArrMAZWECy0cJsd8Aa/+w8/MEmXQQYYMDW7 sAw2YNBn9AG8WhqkQAPsoA4rcMKXMQ87wAbGkAIQAAAd0Afnxg/42DFNADsViAKi08Ad4A3bUKdL 47z3YAQWYAAi0HmJKwMCwABiQCqV4AKIVxr5EAMGEAOwag03IAEkgEtn4Ebh4AIbCAsYIF0C32/O 0AZMcAZtRg6FkAsGkQqkUBr3cAhAoAb/1xz7gPp3EAulgQ+bsA0FMQqZIA6lIQxi0ARpMNAEYQ2f AA8C4Q5/gA35sAlvkPxvkAhyNGSMEAV6gAsA8gu6gB6yDwR3cCwD4QmD/zAQs6D8yS8M+mAI3CAQ 45AG3R8bvvAD/dAOh2ALjbEOjbAK+ZAIyU8JkgYO67AKyj8KoGoLAOGJHrh/zMpEc+fozcI3gwiC 0gMkU7x///xNAjKH2z9w1hKVAxeSICswmsIRHLepiaR14EzpAhcOUK1/rhbo4yhS506ePX3+BBpU 6FCiRY0eRZpUaVCO/q7tW8eRXTye584R/Jfvm7lwOZFWfPfNHTmO69iJ2xlvXEiO/b7Vq6iTX7qW MefFW5du3t557E5WRAdMm7iKVrGGHdZVJLu1Ic/x3UsuLz+26diJ/Icsx7Fw6SiLPDfO7F66OR/v 5ZdTnN+Q69Dxywt57f8/cdqY2cv5r923ZVHB8WONeV60drnfSXvHUW1Iduf+AeqSeul06tWtX8ee vXrcit29Ys7tfbp37nF1dmcr/rx58u3Ti2evPj349vG9/qM3g6Z5rPXDq0evv/r6w+o9+9YLkLwC +4NjDf60gzBCCSekMDsFF1zPwO++ku9BDC8Ejz4BHwTwPxLvc48/FcG5Io0A5wMxRhT9M5C++Hh6 kcAFKwpnD0E8rDBIIYckMsIchToyqSSZAlKpJnW8riI15niSQg+X3OnEDdlixwpZtiwyTDHHJLNM M89cD5U4fEPTSH3GEAbMNueks0477xzzH21IcQ5P6/5Rp5Ry5PSzUEP/D0U0Udq0oShRJduBh01H J6W0UkuH/Iefky4lSjJOPwU1VFGRrHJULEdFNVVV/SQ01VZXhTVWWWeltVZbb8U1V1135bVXX38F NlhhhyW2WGOPRTZZZZdltllnn4U2WmmnpbZaa6/FNlttt+W2W2+/BTdcccclt1xzz0U3XXXXZbdd d9+FN15556W3XnvvxTdfffflt19//wU4YIEHJrhggw9GONQDEcT0RgRfdVLG8OaT0D0dE4RYuwEv Li/jKC+Ez2HsLOaYYggtLtFkOhcWUUiMszx1PIkpjnmpjTHmqOaTUT5Q55FBRu9lC2fesVSbgXbY 6DHVGQgccty5DDN9/5Bhx2PqxJGHKnDQMacutprRRkJ2tEEGGmXQyUkeaewJ6R14QhrHGK+zW8cc ZaCBBp+c5mGmnpDiKYaqcMyZJ8yn74bGn5zKYUYfuItBax130iFyH2WQAUYe1b6RpyVyiqEcnH7e 0W4css1GO6R+1g4JUrjljlCfaMouRjF3zOlHa3PaCckffOqsiBZrKrLHE2NyA2eWP5hRmrp/7mkE p3++6YQwttpZxJB8rCbqn2YciSMONJLprpY5uqnolkeiGoaQRi08p5Ay/igDlar/iSYKVVIzxxHN xynEMrjnvHQ8Yn5loAVF/nENMPwiKtq4hOLmEYnjBakiuigDHMQgif/k/EMfdcgEbtpBCWT8IxyQ eMYAgfIPY4BPfOSrCCrygL5/2CIRZHGG+1TIFFDMTwyTgEdFJIEKVpjjH+QAhCgq8gte7LBi/0BD E/+BDyRIIzfNyMMSPGE9K7njDu5Y4hLe9w9QNIEJt3DiT/5hDS1sgQlecMM+KtIGHzyiImiIQdVC EYX3jWweQBhCE6bAhGBUJBk1cANuXqGCjdyDCddI41fe4QUqNAEKQKhGRUDxAzk4BxY8KGE/jBCN SHbvH2NQgiChkIuKaGMGXwBjNlxgCtrUARalPM80tGCFN8axIo5IQSIq0gcYpOMfouAjLrNkCRIw wQhbQERX1BCJO3j/4x/2AIEi7kgIZX7sDL+oCDx8QIyc/WMVSFBEE5rRTTU64wvH+8cgiGC9iowh D2GIA1kshI0dpMETbUjBLf+BiSHYoSJQUABOWAEE34hMSeXAQh4WoQgYwKEisXACFuRYCw+04h/O yEI2JtY8JekjC3xYxCZK8KN/5OIKXCgOIjxQSGgMAYxsUdmfwvGFJixiElKIAkGYMQUiTOMfyfCA Jv5RDim8YqRO/Mc2dtAGT6QhoBU5xBCo9A8jJEBxtWBoOXNqlDtSARCAOEIXBlUGUABhFf9wRwfM UJEoNEKsBClTRdwATrjywIoECccc1PANL4CzQv8YRhHA+A9NxEA6///YRxBOcYsrBNFCopCCN6TR jSccgjaRwMMUTOiEGzjjH4aYA147Np53WMEVwPiGGbhQNVkIgggCRIQDUvEPbhBBcQQi6VfwcQVc AIMZZJiCc1ThCCJozhIEAMVRhTAo4LKTLeQ4AiCAIY1PtOAe/wgGJrIQin/wIgDc7IcSSlhdJ71C CsHYbGczFQk6QMGEW8jBMP6hiNSK1Wc+qQgc5EAMadTCB8hYxyGWsQduQoMAZ1hHOKSwC/8GV2On /NI/zFGDv/7jHEQABTnEEAgLf8Wdi62EEh6LDRjcgx1JwIZ1/xGKOthjHeQIxB2W2glNbOEf7NCD FTYShjfE5Rz38//MeO7xhWlIRhRD0JsuSiEFSBLiCaz4xy2Q0CdyzKMroanYPoqADMmAAgnJgYQq kBAnRRDhFP9IhRV802WCGMZC/CBDKyQTDSJA4x+yoMUUwKkKJSziH8oIgt7sEpXVOEkUNb5xjnec CSv8mAxWoMY/ylBk5SD5M0r6hx8YIZl2SOEW7JgEPejwiX8cowt/SMc4npBpcByZI+kgB5kqcoYM m6MHHW4HCXArhxIf5aNHWGwjnvBYWUiBroYdmS/c0B1UHAFQlvAFFsiBDzGc4ZZ12ER3kBFdfpii H2n04B4EqOEhHA8Q1bBCISdhhkn8gxRbwEo7SkGPf3hjI0bqxx7/jPgPYQxBHf+wBDekMI1wfMIM kfiHJJjQHX+kAm2iYN6d7WBNDyMBfZwIxhqwXAkzuKgbSphHRcaRC80xw6kq/Ecvph1Da+PDEqFA wra7TWE9hLsi486UKTQXsT7oojtfKMU9MLGONBQZFmoQwz3uAYM4FQSN4/jFb8W0617/OmfyyILm SoEHxUzo2Mleds5I8YWKlIEmFgqFHbryD0/U4dBxyAYW0CGNP1BCEv84gl0rgg01nAMedjCtze4R BVL+gxpd2Ac4zOBOWKyjDJ3AAzgk4aCK+OMM3AAHHL5kpH1EAZ6vGMI91uEGY0whGeMQQyTE8JyZ /0MeYwBGOOSA/8Y7zwGG5iACJJcnh0L84xKFmMM5RuGE1PwjHX+4pSUyUexjyr0idcdfGbKBhL3H gRKM+Eddu7ONwh8+8aDuwyAKY4VWRGP2icDDPwqxCDsMwxhdOPc/RiEHcgjDDkPfun/gtYrwtQ4b hiHACVhwA30yO2dAtopQtub7h0awu39IA6WykF5gg3FYKiCIg+orByNQh1Ggg0JAg3/YA48iiGGo gmhoBS7gN5vJhy/4BhMihCxgDCi4hzA4BXRogl1YgnMghD7IGXY4A03why5AH9IDApEiB0OQgnMo By8oBz7YBX0oAlMAgif0LIKYhzxABXl4gowbGTxDo3+YBRrQnP8iyAZA0KYo2IUpwAdZyAO24AdK cIR04ILdUhJR2MBr8sCjmoMQHEE1YIQiYwMV/CgvUIYXjEFQ8wNOqIhpGIFvsAU++AdcGIN/oANS WINjQAYjSLl/AIYtaAZagILUCcAB1DCvqwhh6ILkCAUz6CMjcUC0I4uKYAQ9qIhNkEQLCQYiqARV yIQToIV/+AUxCAc9iAZUoANaWINz0IPhqQhxiAJE+INNRLdysAJKSAVckAI1sIglGIdJ0IR+EAJi yAJ9UITi645CIANaeAKt0xh/kAJDSAVSyAKLcgcmuMNVcIYkuIYhKAdBUL/usIQz0IUseMQoWQcw CINUoIUiYIL/cRCHJoAHUriEc6CCb0ACZVgFF+kOU4CCVmgBUlISbxBGYjTGfzAFOQgHMmhGPCiF PTgHMqDGTAGDQsjGYksPP4iCUqAFOXgCfTgFixIFPliHJQgFMJCFY9CxipgHI4CEMbAo6yoKriNA V8yMJ0iObYgDDrSSW4TAtKuISOBF+cPAkTkGBFCBGuABIji3Uyi+MfAGRhCEVzACeWADbfCOQ6iC JzjG8UiHJ5CBGviBFRiFf1gGZWyETYiGJNCHJxCGPniz7sAGIYCCM2BAjXmHEtABxFSBQuK7fyAE QrgGL8CHJ2iGMpCi9KGCLYiDsosScGCCC6iBGkAAVgAHf6AD/3oYhTDAByGwx20gBDvqDmegAiAg xx36h2e4gLeMy3NTBW4yg7u8hGCYAr70y+74hC0QTHQLtQbITQq4BHJghemThjp4hy1QhjtgBVP4 wLhAAyjQgujSNQGkpVYkhnxwBnPoBiJIjmP4A7FswChINiJgB3kohn1QBDKoCE6YPgs5Bg7AAR0A AJ/ThVuSA1IghEzIhj04hiPwHWcwBnEIBhYAASMaj3l4ghuYAQCgAtyoBogrBTp4hjrghyboBjvo hXJwBmdoB33wAg7YLaxki3d4AR7AAQCogqq5y5p4g2Tgg3gwAmqog2doB2cohnLohySwAY+SMduU gRMAgBo4t/9l2ARxqIY1gIYmwLNYeANUSIdicAZ9iIc8WABDc85n4AAfwFCfqwUKCwMPlQRhENEv KNET9QYVZdGI8QMKGAEGeAByqgRb0LAlUIYvqIdDyARESIN6KAZ3WIdbqIEXgIv8PIP9NIcUkAZq yARcMAUh2J5gCIM+acAjGLhGyIJ3kIVMAIVD2KpHWMsoCYYnGARD4AAl+odESCE4MIRFgIV7MINa KAJ28AVNqIV8QAcQeALaVBJ6cAJKwAUUaIOK2AW7uoUj4IVL+Ic/UIUlgAZkqIRKIAZwCAMCEKCK sUdDqIUbsISKSAVUQEM2mAVD+IcwKIUpcAZiqIRGUIZwyIP/BHjUkXlIOciFFwCDrjiGRQAHZaiC 4DwtXaiDUTCHQcgE9ImEDnAqJ/GGJ4CETVjWiniEY4CiaOWFfKjWa83WbZ0HEfhW8fSDI5CFLQgC DlQE5mkHKwAFNUCHU0gENNiEXsgEUkCHe0ABJ8CrPPkHPsgweWABZMAFI7ADXbgCnBCFMOCiihkG IBi4QZACf2iDKaCEQzCof5iESpCxXiBRZ7gCoxNAPwuEMbiEa+AHO3iDOgiHR5iCPAAjEMACn8SM fACCYECHP1hA09TbZ9iCD/2HQ2iDLcAHWwACIKCwSziA4qgYdWACaqAHMxADglAEUvgHX4CCRKCJ NnCEKqAH/15ogiIgLzqoAAMdGXLQg1Q4h0dYAtwoBVaDhytAhHBrhDeYAmb4hj1YAlf4B1TIAJRU klc4AnwoBi4AXDMAhs89A0eYhsNFA8Vl3Dw4nhOIXKH1hHj4BSoIIj4wLXHYgkfwA3J4BTXQA0bo hClwA7jgASCQ3O34h7IlwBMYhlIoAjfIhSSQI1Ogg840EmPYgu5shCpoB0FogkOIBDaYIyyDuzyg iEuoAnIIByMohn/IhC+wAyMCAiOwKERogjOAB3vwASoA169YPCtKhhEgpYb4h2wwAj6YBbzdgmvt BTAAg1gAhzGIAL8MsyMQIFAogeOhyQUygjvwKBaOAn5ohf8o2INXCIc1uAAALMM58IUk3oFeME1M wA8g4APwOwUuWIJmkIY8+AKCVYQCsCZHywPnEIQWBgcjMK1KOII5AKMimII/+AcdHgNzQAccAGLx 7AO9bYcuaAR+gAK9+Qc9uAMXmQYsaIJckIQmoAN/0IcbmIIgJpKK4APPSuIW6Adh4AVbgIYsWCdJ GIOtrZjJzKR/6IQviIduMIVjIIUlqIg1eDO4s4PUuAUdcIZ0KAKcuIUtcIJBOQIS4CZmMAVfQIdg 0OQYVjIwKKFy6AG7CgNawoc8UAI6zoQZOIPBgQVYaIZ7SIIZ4CvSiwLTqgceOMYxIC93WAMlsNlF AAFiK4b/XQAFc9iHITiBWFjgnsgUO1AiclACP/gHX6QNOdACmhiFGdiDe9CHWOAFZRAHMPgB8HNO Uajm2sVmdigCxRmFKvjmfwCDFoA4cjZnb0hnToaEitCDJVCHIxhFTHgCd3WGEYACavgGU+iFcYiF HnACNw6TO2KCqDCFF+C3imCHK9gIP7hKKzmHILAryYvKivAGJ4gKKcDUFK6afrCBVKgHOagaaAiC FiAMM8iBI0VIL/gCXIik54kCcvoHJ9iDdWiDTEsHNVgASOIFBCBC76iGLhgDZURSgtiHI4gTcOgC CIOD8y2HObgAUiIFCrBj7+iFk0IDYv4TfpgDTP0HMyAC/36YBAoDh09QgC/5Bh2YA2PqDnPIAjVg A1w1NlHIg6rZh7z2BzkwJmRQAsD+BzxYgVIgD0WogsPm5OljrBp4BTSIin9gBQ5A2HzAAS4Iou5I gyVogibKq0xggWWwhzgIglzUq7eag7a2kheug3ZwBxJwBO8wBhjWhysY7ZEJhec+Ii1Ag2wwBLJQ hx8QAYLAhAB4uYpYhzUohEM4gwV+niNgbEooAXwwBP0KBzOAgOOxhgMgMe/QhDpoBSHACdL7gqoT AyJQB0wwonVggw3Qm1cQAD7tjkXYKySgrjfG7X81hkfItOeAAGuSBwWYg/OuiFaogmPd169w7qoh BwkXhv8KnyIMJ4hPkAA67g4hJwQRl9xhEm9uWAFFkGlk9IDi4wcQ6AK0UTkggAQ0SOs84QZOsoQS KIPygIS6AgJIOqx/iAQUMIQ/qAEpIoh0oIM/OIQ7wA0LuYUvMG44QAJaIISumAcbEIKKGIQOcHSC QIYYiIZRIIIcdxJ9gAJImjEVSAVKkB43sAB+c4cJCNicSQcm6IR8gAHyMhJ58ILGMwUXmAVBGOsj +ICqiQYDQATuKIctGAR1eIElLMMjENNouAFWCATT+odFAABSSgcZ2CqC4AczKINxQAIU/gpbAPUA G/VIuHRUv6AOGB62UIYYQAZZp3UOEQN3fAcSkKzuiAX/AGjifyCCGNAn3oKBYigFJFDdPEmHKVgA GcABcCeIbzgBFbADVbQSZcgBG0AAI/gunIIEDsgBTqDl8fAFPbgfXgiCSxC8dSCBMKgIX1ABLCaI XIABcXAHJCBDJVmyGpwiGPCDNODAf2gDESCM/NDbrysBUQCHPch2JIWsIqg6Y3iBNqCE5qMDEuiK eugBxGaLqKaGdQACLGOnTCGDxfwHepeDN/iueMoBuFgHIQDpnJmHITjqODhXmOsFnK8Inb+ErPd5 oD8mFfhyZISBeEj6VucQNFAqEzqDBBCof+gGBNiIBhatnGGFJyAHZUACkcrPU6ACIkiDPvoHdKCD J0Ds/8/OknX4gydAAlWID2jggitgbAuJBlZQIHk4hE6I44pYBIz+h2IQhO1hi1BA2HhIhPO1GXSo hIELh0QgBEiYO1h4BIIQB0VIoZzZBziQI1qYBdqOEnrIhIPLlEnoBMBFw69nh08gQxaCg+8CCFS7 wP0DZ/AgwoQKFf5bxwrav4iVHjEaF7FaIIv/OMGKSHBeH2n/bA0iuPDkwX/ZWMWLKO9QJ1sR/y2K FbGZoHwFCYb69C9eImA7UaKM+CvYzFFWqM005gdfxFSNPP7bBegfOkDahhLt6rVouW7B+nElWMyb zq9qu/4z94oaOq7/+F3j1nKt2n/j/K0zuG4fMXMF///taxdxHLy+Bv/521dQHj28C8n5OzfYnzCh EdvtIxhO3ryd/9IZW/fvnrpwktdSvvsPXzZkg/PhI7gOHjvR6IyFY4xP9equ/tLNdsas97958JCr oydaXLOW9OSZXq2Xr1/AggkWPpw4ZePHkYMffFduMLtQZAnGcyeu4D1/outBXWfOXlny+qmWnelR P14RIdffTAAWNVRE7MSz2H8FpjTYP8Dt12BDxDH4IELIERShgQeKNpeFG2J4kIYCdsjQh1ileCGJ /Eko2X8bKsjgihiaCGCMep3z4IcIuXgijg76KCSQbBFJY34n5igkhQk1aeCSCErpJIRFLiTXkiPa mGT/h1FqGeOFYMIoZZYbYsnihFqaiaaIJllJ3pFsvnlSnHJaieWUi1Fpp3V7pvSnk3wWieeXfooJ JIE+Aqpom3AOmaebIx4KpVxqPjknpplquimnnXr6KaihijoqqaWaeiqqqaq6KqutuvoqrLHKOiut nfp3K6656rorr736+iuwwQo7LLHFGnsssskquyyzzTr7bK5fQTsttdVaey222Wq7Lbfd8vrVOvX0 My655Zp7LrrpqosuPvis+y688Z5bzz7y2ntvueqIiy+/9+KjTr8BC5zuvgMbvE/BBhusr8IN91MP P179o08dSzBxMcYZa7wxxx17rPESa4Bh8cclm3xy/8Z6NLEEyy27/DLMMcs8s8tFsEEzzjnrDPMa Uez8M9BBv8yEHkIbTTMQFR+9dMw2M/30y2u4w2VK0QSgwgpZa7011117/TXYXKtAQANYh3022mln nUMOB5igAtxxyz033XXbfbfcF1yNN999+z33Awr8PTjhhc+9wgGGK463DRMs/rjdekM++dwEbEP1 YtScAAkhnXv+Oeihiz466aBDQkQTnJe+Ouutd94JIj9MAkkjtdt+O+65674777VDIkYJtPc+PPHF 2w6JEGAIbzzzzTvfCCSJ9AD989XrDkkaICxvPffHA799991DogQomBO0jRv/kLM+++27/z788cvv /v8/lNSi/vz567//+qZ94Zy3cHUNOgRwV4ZIRQGTxY4oJDAizrBDA2cywAjOJA2mMN8/tjGGCIWj gx78IAhDKMIRkvCD/wgEKjhYwhWysIWq+UcU8ENBZoSBgv6xRC5sSKxz7CGCzbiDDWmoQ0dckC3d mMM/yqHEJTKxiU58IhSj2MR/9KESSZQiFrOoRSXaIx1NcAyqMngG84HqH4JYBRlddZoiREpU/4CG HtK4KTHKcY5v4AUGj3jFLfKxj0ukohX9KMgtdvGLdcwUHVdlRjTWykn3YOMh7wTHSM4pkWG8Yx6R OMhNYhGQe+QkKJdYSDCeypKpWiQlw/jINobqjXH/DOM2xnhKTBpRk6G8pRI9ictbjjKVd4qlLyt5 xmCWao2sLOMkYSnLS+Kxlp/c5SZ1CU1O9lKZxLzTMBvpo1Vecz/JLCUwZ9lMovxDj9MEpTTPKchq 0sk/ZiqQg9yJJC6Zsp0Ucmc8jzSplGTTOvnM5zyRZB1u2vOe/EkRQun0zSvJE1dtutWQihLOgiKU PwGlETlpSU5zqnOQ6ewoH9nJUHhClKRMkidDJuqhg5r0nYkqSj8DVNKGVlSgMCLoSA0KoZ0aVKGv pOg8q+TSiNJJpSj6J0kvuqaiaLQoHAVpHz8K1SyKlEraIMUo4hIPaByjG8SwSDqWsaB/wAMXrXiP /0EwI4xiiKOoy6RTPmShCngURBxc9SpYxUqQsp4VQerIBzljuhbG5CIVYHTGM7rBDZ2sYxjlWEwz SGGL6oCDGNwgRySNmaTkxIIUWwHHOrKR2GngJx7LSAdB9DGLXMSFINrwxj02u1AqDYMUt2htO6bR jW4sI63G2BAzSGGNGMWjHy/ykVGHFA1ShCI34LiHNbpxDGcURB3m2BA3SCGS2XQDKkwdp1NtOdUt SnW8Uayqj+wBCB4kASntcMQd3IAGbvxDHpF4x08a4YIhbBccsNiEIjKBnysld0i7aIEPIGGZd8BX vvS1L37joV/+8gcX1aAaKmEUjlmMQASoMI0k7P8wBjrgURycgMg/2IEIHlwhGxHxhyPE0IzM4nRI wUACDwBxnnNMIr5iEEUSCTG1cPxCBDtAil46MYdWHNOV9LSHJ3gghWRE5BpmcIMbJuGYYNyPMGXg AR5iuxhhpIKyTirwYvKBCR544RkR6QaWx/AIffxjFKqIiDvGwAM/nCcitxjDL/b5j6bS6anm7WQV n3noJ6I3JaXoQgp2kAd73EMISQCCEMQQj2bowTG9SEINZoAH+fwDDkpIgh7EnNK3MkQZTJjBDJLA lH1YGtOa5rSnQS1q+RCEHGvIIUwZGSBmQAEHIHACROwQhC9cgQnwIMcZXhERUCChBiKIg3NkAQT/ JrhCMYOtcUr6UYcT4KALHUnHEoZQBCzooR3lyINQiGEEHKSgDsX4Bzb2UAQ1yCM/TqZTKbIQ6Tzg FxQjMMIUusCKf6QCDQWJhBZ8AANEvPAflfBDbwjMah+x4gk4KMEY4kKLETBhClkoxT9cEQj1WYIE PlDCICLyDjfsm850IvSVDL1oKJZ350xsNEHEYYQuuIISKDhGPoKABkawAQfOkMcX+gGOM7xAEpdA QUfW4QUtLOEMhtE4hhPxA080QgSBAAc8lM50HBQD6lKnuiau3hGC9MMFtMCwYCX2D0ykIBKawMEj wHGEPWTiDTxIBTjmIJN11CEGjUDDD7yxDj74/2ETe8BvgMC9mFKogBKoCIId1pGOJJxBE2pAwTbG EQVi/GMTPojEJGpQCXD0YQ6DQMIu/D3blIhjCURwhSBQ0It/zKIFj1gEEaYQjlQQEB8wAIIuogCD fv/jHE7Iw6TqmZLRS6EWfvjBhV2hhUJ4AgZ7CMcgHG6MEayBFUbIgk6SEQROQMEX9MQ5Q3Tu8ykm ev9QBPo/KIMPdMI9SAMRHII6cEEsCAMsiMAsqEMR4IM+lMAlqIM0ZAEejMYVbEIqgMKOgB2dsIMV gMEw4EMYUAE6wEMSLGAD/gIESiAFWiAGzoQtYAACBdsh/YM9UIEdGEM/3EEV2EMdEII2dIMTlP9B OMzBKPyDO4iAItTDNMQAJZgDCYTCNFBY5kGSk4TDHUiBNLzDJNCAPLCDF3iWKCjBIpzDEQBDPEhB Dw5DEzRBPkhBIzTDF1CCmPwbQ0SDDxDCPQBDF/TBP5TCEiADNDzCCezDL2RgLNSALOQDLPxADv3D LRwAEm0Wmv2DNdQAJOSDNcCAJfxDI0RBNgCDIbzAPbDCG/wDLaRAK9xDKaBAKOxdEyyDGQhC9uGf k+if/+VS//ViEwEgKXRBbNEEHxgDGBhDQ9QBJuxDFLxDMOzAvf2DJGTBOujDHlAXhqGZWbQAkP3D NGSBPsDDFygj432CM0KjNEZENVoGOAiCBQT/Gg4GiDKUwIX9gzdggTqMwS1ECCd4QTzwgUz8QguA ESB4wTQ0wTyAgxAsYRay0j/QQxZ0mTxkATEs0DREiCPMwTyswVqJgJv9AyiQADFAgTD8gyGsQR7u 3mLgAhEU4yOwAcPRgWrUAwlwAy/UkB8AgWmsQxGsIjuIgQTUECZu3GJIQhK0FiZsUPoZhDG8gDKg Qhv8wxmQQW/EgxWEYhNEwj9EX8YxhC76CC/2Ys/5X6NFRBqIwUx0wyQMAxtABRWJgTyAwTwkghfM BDE8gT/Awxn0GT1x4z/4QhL0WTrEwTu4AxjAZR+UwVzW5V1GRF4KBj1MAQ/c2TwOlilYgWX8/8M7 vIE6RJufoeAYyIQfHMFaUsE1BNo/UEHuPWR/IIMQTCMVRcM87IFsiGITtEMdNMMuaIFG1EMWtAIg xAUnTMFK/tSQOAJR/sMzrFwpxMFMCIEv8IJacsEjzMQj9FAxHIETOFxR+ts/hIEjzEQvhOIgjGeE KMEzrIIjrAMRxFxECMIZgIMTXJA3MIFFXElYpsRY+l9Z7t9ZgsMa6MJMvAMzDMMewCUaMCYY2IMb +MFM0AMXWMMyGEEllIJzuBU9cQIErWU8FENiRgQaxMFcOiiERoSEUhk3LMEW3M9l5gUgENBMPEM5 8IG0/UMrIAE6jIH9AYEhzAQ+JMEzWIQ/OP+Bm7mmk8CCEbRERFCDedhmRGRCEdBDHRiDJzBQRJDD EUiCNLwHIHzBcfqbgC7cZlyYKkBnRAxBKPBCHIxDC0gbQSQDF7DDMnhCJJwoCDrJOjTBJE7McEEC epKDElDDKlyCPZxARhLELixBOniBtBHDM27Wfi5Gf+7ff/rcWZJDEpTPTJyDM0SBY/yDHggCPuwB NiJCgWzBLzDDDbBACtjEdzJUGyxnioHDp4aqHmBCqZ5qqi6cJnwCGSzci+pdGKSBf4xDPNzB8Fmc FYiDG8ziFgjrP4gDFuSQOKQCEJBSXoBblILpTIzDOrzDHmwXJZBBOrCBNrQBmkaEHaSBRtD/gYw6 CUuqTxJ0xEwQBy2oZRK9ADeYwh/4Awkow2BoQwuYAz04Qy2sYqw6SToMAZIliCga6z/Uww5EAyoI Ajy8wHb8wzUEgTxYwYVFQxR4F1iCV6GJFzD+0S+mLBd5kbamxDl0wSyOgzHsAz1owxTEQjQkQwmo Qqn2wxd0WURMQSPcggHAgAycgTuu2mb9Ax2sIjj0gznoQ3tAgc7ybCr8bND6xxQkAjjEQTK4QcwN q5HMwSE0BDzAQz7MwxwwQjRYwxIQ0B0AmRMAW0EkgSb8AzNkARjsE51wK0300D+ogzHoAzuUQxPg QjQcgxBMQjywQTbEASb4Bx6YQUSgQxZM/0V/zGvM2h/N7oNOpAIYSAMyNMIJyMMvvIExKMGMcQcI bBcq/EH2Fdg/tEMQXBhp7AN+DQIZQAMydEIJ3IMuBEI2KAGv/cMy7AAwXAF9DQMZ9Jt+mmzOoSzL XurOnWU6ZAGV9cMgoAIoIAMVlEEk1EEKaEM/7IE8AAEpQAgQIIIpuAAvfMIObAfTMpQaGCs5pAIr rII84EMWiC/5DsP5pu/6bkgTJMI7nIE/uIGw0knekdM/kEEongMt6AItuEMegEEkvIEKnMI/2AGQ XUERRYQVFAIrOkATHBM5Ae4kxJFIdm80nIMV8EEk4IEKBMM4rEE0hMGPzoQY8EFEBEMKMP+D7iHn 9mWBtHEvKuRCOPCCFmyCJ3SBF/DDLLxBMSjBxqrDCGRkV8ruwvpIPihBRhoDJNSCLPwDKjzBJEwC DBwBOagcMiiBmP3DMJSANUjBcLmDGtBV9GaSogGj9S7aWc7DEHjDP0hDEUCBHnwDEVTBHVCBGWwa +haBB2/IEhACMRyCOAzDChhynlLJLf4EHjQBFXBDO5CAI0MydExyJUfEEhTCMZTBP4xBJTuwsEmL qHqCDrpBEzCvHSiBG0zBFjTDOoDwP3BBaxYEF3ACvtWBFLgYkj6IIlyiIzSBEMhCOEgBFriBE3xB PpSDDotBKM6EHKQPVV5Ba8mrES8GISP/BSJPwReIQyukwB7UQRBMhSq8QTMQAR8Thgh8Q0Swghd/ ckrowxMQcTU0QRWwATjggg/oARtoAS78AyQEgjIQQVr8gzaUwDRgQR7jAR+XrB+zLBMF8qGdJTt0 waN+QRecQDVgwRgIQhvQlzYkKBi4aERUASesgzrMxQvcHUE/iBpMZTyogREswC3QwxPE9ExrtE3j 9D94wSAkwhjYwxEUQsTYch1FBBlswi5DAQfYwhkswSGgATbHgx0M3xbY7TpgQQqdwz5cAYFGM4MA gkz+QzUjACP8gxOwASaggS+AQz54JBpM7ky4AXRqgwgwcxH7W0oDmTR8QRb0wDzEQgu0/0EbKEJP 0wIc9MMTKCNBuMMInOQ/CPTsGiVnBsFwPQMQBIEIrMMpwMAl9MEixFYjCIIzGO9gIEMLRAMW0Fcx 8MF6iLQzkbTKBpJxnyU/PAEe4UMubAINBEMTpMIzcMN7LAMYvIMedMJMrMMWTPRMAAEkfLGTlMEY kUMs0IIPyMI7bAF1WzfyZvd2dzcUiG4QZAIIMMEy0NMDkxMfrGI8gMIq+IApyIEnVEM34Nc52MEs QoErdCoWLGFEuAEebrXm/UMnQEFEvEIqtIAnhAMTNEI1PENcYGMxCIIszwQZXIUitAB1Xcm88oMS XJBzWwIOtAMsNME2bEM0RAQtxEE7xP+AbBCEMgQBXZX2QNevk4wDCUgbPMwCJZzAOZACGBzDNuy3 KFKCOrQAaP8DNQyBPHCBmw2DHfR0Hxf3Os2Dmq85m9uDR61sH9kDm8/5PAzSWfJ1IsyENmyBL5CB YOh5DL2Bd9YuEmxDPuhEBDfwmRnlYniCFfjHF+SCPhzBn0eENgT6oLdDe0UBCBxBAvgANvD3LUsL JQBBdy/BLJSBTHSqHdifHfhEROCDELwC9QFCin+bFvrILMRAbkQEHSwCOYABEc/EPexBM2iCSgoI FOSCPQjB2crWOm+IFSzCTAwDEtwDL8jBrdBCGcSDEMxiRAimYSD5aftbOAzBeEeENGD/wTygwonO RCNcwjwowT0S3xTYgxVQmTRkt6RKb/5RLyGlAzsMPMETvJsLkkk7EToUPMOng5277GbJQR0AKRTY whzUg39owxGUgysk+z8AAwwoIhr9wxbU8qLTk8HhV0TUgaSDAcbr+cZ3/EwAgxZowzSHgQnEQKJe SX8XhSq0QGhERBTMAtj6x4LLhCcAcUQ8QxeAgiEQRCQQJa5DJDGwAGn/AxoA+x4ILLGDgTOIQhUQ B2PAADPcQglwPYxHO0GEgcfXQxLoA5tuexiEQx1IwkwQAhPwQ0An+cmjSB6owUw0wxW0O3rCexrs 6fpGRCCQATl4QUf0QhUsrZNMKkFU/+r/5YM5uIM5bD7nZ/49HHxUwTkh1YPmd/7muwM8uJsfASAu iGFE8MITvAIZTM3AHkE+XAMVsF44KEISkIMlmPo6iMAsBnVKGAMLXBBZacEuTDrtu5bt477u8345 cEMs3MITnMHL+kjP00k2pAC4DwMM8EIZSDhBHP0/hAIWuBg/OEIRBEMQEAcd4KKF53pK0EMMIIJp vAMXREKwXwNV3ANAgMkmD4utf/9WwdBHxwi/cefARZQI7h80Pf8mSvx3aoS8g7telOMl52DEf7TC /Hu0J9+/dlAcHfzH6k/JjBS3ncGY8aCmGPgOnopxDpUfm/8atfkXyA69f/6wLPpnp//RP11AKN6k +IbXTq0mu835V45sWbNny6LrdyvWLbdvb4Fqhg5t3bP/+lQaa5fvPGWw4L5t1UsfXb517aVrss/r xH/ZZmjy52wODGps3O38p+0LvnJCKPmLFiTNv0wvxiljka2xxpytNYqrMqcYPk02sNX7ktkkZ8+g RQdpE25euXZNCIn7SlHQKtjLKZ7DgseYukgrvIW5pfncnFH/zD1RpI/Yi0T9YlyLh6TW8+X/7hXJ 6jicmyrI9OUyUYtflGua74kCGH6acAMed6rIg50W7oAGm2Xm08ii5x6bQRJ/irHjBHFkoWO+jej4 RxQacmlHlh98wegfV+KwiafXtPr/5xocdNFnmSNiCEcXNI6qxChTSmiFnlV+qEYlP9YJJKav/uHK PY3C2uswtNJx5pNAMMlSS0wu+YadKevCSy8w0RrnlTa21JKSRPApjsyyEluMwnHw6KIMN0DoQ5kp mtEsmy3g+eeSGMogIwVv/rEmCEr0WKIcCmGMccUY3BDjCSzccWeLPk36M9BBC00hGJn+aaKq95p7 UlJGYjhDjBigMEYPWLg7ApR/4vkjiD/2YIGZcObQQxAYgFH1Jvjka+0gUIKo4w8pYJiGHS+o0Uwf L6b5hxES8DDjB172KWCHKKaoJEKTJoxxHDrsxDMlXOz4sBY7/lFniSv8GAKJe1TU/0QnSHWK0R47 hvjDDhaUkoQkzRAhqRkrrPAjCC/s+aebLT5hIhb3muwKOoqifNMsdpzx4483UE4ZDjGuGUfkssSU UuRzfKEj5ZvLOKQeN0WOkzEmq5kBgQWoyAYeNszRbJg1+vnnmy4QUGCOdP5hR40QFJAkHICffOoL ChD44RR+mlkjaZOWbvrpqKcmdQ5Uuk7142P38QJsHHjh54wUTTpnjFAOogYGBBLAIx6rZAghj8Pn diy+c02ahw4FENChk3HsqYMZAOsg5p9ijKCAgi3QQSYBDRRogEdl042RGhCGFuKbf1KJ48NSWlzn lBsuaMBcFWtZPcZItXqlhqGdiP9mxdI0c6U0cgaR4QIKcDnonS1sqOLnGJ382GKxXiZrnn1yKSWV 89FPRRVn0gn/0bxkfjMdYE5JH31VYMnHsJ4V2/5Yfj4BhSa44h/2OEU7rHWKR5GDEVD4Qi9U5Asv REEbHCNejH4BBC84Qh/wOWACF9jAB3rlH6qwRtyc0zie4KIJUMDEo2YhDK/wIxfLOEg8EgGFI2Dj IM5gwxRaYaxjPY5CyYhCFeRQjKrRomkSmQctGHOSJTChFP9wBxruUIc6VO9YrTsWOQLIhEEchBi7 cEwZKYIPMVTBDmejyDRa8Z4LOoYdjvACEKr3D27cwjF7pIg5zLAFMwCFIpXwAif/wvGe7kHne/F7 Ez3uEUlJTrId7nvfmNzXDn1MkpOW9FnX6CENZbDjH+uwBzk0ww97rIMi9pDGMlBJkXEQwxmQc03A 3hMPYTCDX/8gxylTucpWvjKWJinHOVAoxGOdIxrfeMdB0IFMiYTDHoz7Bz2IMQxUlnIZyJCmCk1C xBiRYxjXUMdBTMkPr5hym+xAhjKQGQ989AMe8GhHjLx4rFAig5S4QodjxvHPg6jjGh7hzjzkiMtj /eMd34gGMv8xDoRqZBzpoMg/+mHOkhRQGi1RpMcYGTL3oYMfJTXpSedBD/fFzH30SMdJYSoOe7jv k+8hFakcs1GcmkQmCVXVTVXk/6KLBrWnOWWk3MDJU5wK9aJKPUpRk4osW/K0qVAd6lB1ulOe5JMn N22qUavaGKY6Zo45XaqyNBNUp3Ztke8RKU3tEVe5ytWSl3Tkm+aa15nStH8/LSpsSAhVrR6rrF39 67E0YtWvMgmpScUqVcH6WMlGVZySOixPEvtUxaLrIoz8K1rXGlnCKtSyae1qaJXKyLYy6a11dS1a WPpa2cKpr94jIWITe1qfzk2wuIWsY7zXWMduViNmFS04pdo1FwE2sl7tYmc9C1ybKvOWfqXuVY/L k9XGqLWzfW1svfvamjqWvN4rbHnR6xjhppe95E1ue9NbEejCN77npS9yt3us7v+G15Lg5a8na3tf 9P7DvgJW4T/Wa2AFa6SyC+YtVx1sXtJGmLf55cl+//sy/2b4ZeOlMG8L/OFjJVjE8H1vifE5XxQP b8Ir1i5I3Qo+DvcXfjPmq5xczKQQuxjBKcyxiRv8Y/leN8IEbvGPtwJj1srYxhqucZP5h2Mkk/XI U+4xkac8xGRlechZrq6XNWJhx2AYynzZcJn54mEu73jFVwZzeU+M5C6D2chYdnDHjNVINL/pzHtG i5qtzGYUu/nNww1yjufs5ToXGs/eI7OfzdJnSNNWyooWdIkJXWjkHprHEBbypSnc6JDSS6+lNvWp UY3qf7ShKql29atfjQ52NOH/nEC19a1xnetbd8MMuvb1r4F9kECQItjFNvax/5GPLyCb2bdGBhma HW2g8lra1ZYJGpQ8PDVYm9v/OEQeuy3tPYwj3M0GRhnKLW1AmCLd7QbqOtjg7mDDww3yLva57V1s Qcgiz91ggzj0EXCBD5zgBTf4wRFOcHG8gRMAT/jDIR7xgN+jHUtwhzjikXGNb5zjHff4x0GecXG8 gg8YD/nJUZ5yjYvDEawwucphHnOZx0Mc+2gCzWeec4+Lgxn/1vnPOz7ykgOd6CsXA7u9Zw0TiGEM TXf606EedalPnepQFwMNhsD0qm+d611v+hnMwIM7iIHsZTf72dGedrWv3exN/5gB2+Eed7mjvQVS mPvd8Z73s7vBBXr3O9v30IO/D17tbif84c9eg415bxgL0IEMIB95yU+e8pW3/OUnr4MDEODxmPf8 50EveQZcoPOhN/3pdZAAAZT+9K0PveYfwHrXz572ktcBBxggA9nX3vU6WEAHds/72ad+9cI3vu4X wA0hlsMSfWjD86EffelPn/rVt/70d4CF62+f+92PfhrSIIMztKEP5Tf/+dGffvWvn/3lb0MRUED+ 9s+f/vU3fxtGgAT525///fe/8+kgBJzv/whQ/dpAD1Zg/wpwAe8P/hSQASHQEOoBnNYhHCzwAjEw AzVwAzmwAzWQE3bBA0VwBP9JUAP/AB/CYR1UcAVZsAVd8AVhMAZVMBymARNSUAZxMAd1cAXDARFC cAeBMAiFkAffoQwqcAiR8AXDYRmGIwmd0AVp0AafcApZcKoWKt+MzZ6wsNiQYQt/jR9syAt/zRwQ SAzDDRrM8NaUJw1tDQzZMNcO7A3lcA7psA7t8A7xMA/hUNPcy84+bKyszA9DTRDfDBARjRANzBD5 cBEZsREd8REhMRIlkb5Ay6yIaxIxMRM1cRM50bYw6wpNTKg2KrMusRNN8RRRMRW5TKwGa7DKax3m gZUkwh7E4R8SKSJkKq7mQTlUsRd98ReB8b7EYaIighzKYR1sUSPWQR/mARH/CwgWqIYi1kEWkgYd ZKIYUiEXfmEXOCUYvfEbwREc/8EYbGFrKKIdZsGiopEi4CESgogQMYoOPMqX/oAZ8KGCDuIV9oAM 9OAIXgERwzEgBXIghcwbhkNF4IEO/GEY/KcWmiAMCGnAhuEKOogiyEEOiIEXIOggYCELroAIZADp CHIkSbIk6UwWomCjzOEM5MEVWIMi6MEI5IAJaCW+skEJmhGdfsEfEuFWDmIaHOEQ3EAGtgEgTfIo kTIpmYQWjIBU0LEd/ECGKAIbWiAW4uAPbhHOsoEIeskW70EcLiEVdsIemsEcSMEJIlIp1XIt2bJx pKin+OEe7GEMZociEoEJ/+gBFqyAlAZsGaiAFxRBicChGYShCtSgH5QqE9DAHNuyMR2zMf9hFqaA FwjhUc7BGaohCBYBof6BDozCHZAgLYfLGUjADX4gEyqwEE7hB0pgc4ZqD/TCKB9zNmmTE//BFLRg DUAAcPDhETSBAqbAHw7CDRIh2ZjAhgYMHhbACaJgC4YBHKLAFUiACyrIJPThCp5BNmtzO7nzEf8h FhpgD7xgDsbBGYBgEH7ADR7lH/SgKsohClwTzvpBAOjgG15gF/4BCUDBDjZhHf/hGaCAX7pzQAm0 F//hFjoAEm5hB+BhGbLAG4yAFrbmH6KzgPSgWgbMGEJAFsIBDDgBHIhgG/8CARcSaxCYgDELNEVV FBP/YRdyQBvKAQuoQRuCIBvMoFoOAghY4R/SgQ6MZMC0gQQ84hEOYR2EwBoAgd94yg/wQBFX9Emh lMtmIQm2Bg9MoRiIwBjioFgOggl2dBz+4Ee1kgoqphb8gB+oAEmV9KL0IBO0M0rhNE7hTBWW7R8c ARWMoQvcYUtlggkIqEfF1L2yIQmacRbaIB6ogBsUIRe4YwsQRU4hNVIxTRXW4CAUQRfytBnEwHMO 4gvGaB7OQPkGLBukwBpl4RPEIVEV4Rc0Ax+6QColNVZl9b5KaA8OIhFUoRi6QFOlQSbqQBLGIg88 Z1SxoGJwwRLIQVVB6h//ooEEhHNWoTVa3UsVjuAgPuFKd7UMuvAg+KA462ELqhPOtAEGPMIQPCEc hIAbNoFRTeIZiGAdpTVe5TVGZkEKKMIOQsEZiKAZ5KBXD6IPSiMbuqAeBPEfmsEERqUJNOEfjjQQ qkJFbKEJkHFeKbZi/4EXVOAe5uEJmGEYsvQOEOUgWIEPRGQLvsm9jOEBHMEXYMAXwEELFHUPCOkk 7sBJK/Zm5fRiJ4AVdCEI9iEbWkAe8qAPyE1GisAWBIF5BqwYTCAIaEAK6oEcSIAbVqECbqWQFgZn tXZW/0EWEEAJekAN4gEaaOAexMAGlKiA9oAGYmDxBmwf8OAIeqA4ySEMgnZpBmZBRWDhYbe2byPV YsZACnBgO5pBDdIBF3xgDbWlBozgnNhrHKDhGHDBGGzxG+gBHVRBeShCHYzBbz03Z+8BGmyhFJwi Ha6BHORhFQiWHVHhFWQxvg5im7LiH/hBmkrxc3GXO89qo87Bmv6hFgHSuZxrsXK3eAnUq3TKEr8i IAAAOw== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0038.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
reading or writing GPIO
lReading the GPIO register reads the status of the pins, whereas writing = to it will write to the port latch. lAll w= rite operations are read-modify-write operations. <= span style=3D'position:absolute;top:66.0%;left:13.1%;width:88.76%;height:6.75%'= >lThere= fore, a write to a port implies that the port pins are read,this value is= modified, and then written to the port data latch. 
<= span style=3D'visibility:hidden'>l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0036.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
TRISIO( Tristate I/O)
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0036_image218.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAApEAAACECAIAAABHzeedAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAO xAAADsMB2mqY3AAAIIJJREFUeF7tnU0IFdfZx+P7Ngs1KTEqEVtUcKGCIRFT0Cw0bqQQm4WKKCTQ lKBJIC0YXUQ3XahZaFuqi6iLKkkgLqKLECEYeP1YaCBKAgmoCxHFphWjCaJxaX/tA+c9nZl75sz3 nXv/s7jcO/eZc57n95xznvM1MxMePnz4iA4REAEREAEREIGhJ/A/Q6+hFBQBERABERABEfg3AcVs lQMREAEREAER6AcBxex++ElaioAIiIAIiIBitsqACIiACIiACPSDgGJ2P/wkLUVABERABERAMVtl QAREQAREQAT6QUAxux9+kpYiIAIiIAIioJitMiACIiACIiAC/SCgmN0PP0lLERABERABEVDMVhkQ AREQAREQgX4QUMzuh5+kpQiIgAiIgAgoZqsMiIAIiIAIiEA/CChm98NP0lIEREAEREAEFLNVBkRA BERABESgHwQUs/vhJ2kpAiIgAiIgAorZKgMiIAIiIAIi0A8CwxWzf/rpp6LYbt++XfSS6vLXr1+v nkg6hU5scWqUgN8EhPbTLOHNsWXVnHdikMbINKehakoLbJVFLoFiMfv48eMTBhzbt2+3zPiSEHnj jTf27Nlz4cIFp43JkJqd4cvatWs5M3nyZD6RDwgnLpk2bZpdcvr06VxrKwqgFRmR3ezZs/l8/vnn Dx486LcjCdsxijNY52QShhe1Jcw/hrxzUAz8GHebCXAwp/uOM8+GC0xaZyef6awYGyPTjPSmAxUu qNUtjTEtzYSiRTl0tS/sjnT1tCKaqDuZxTgsY3Uws5pnFgBnSLjux7QP4UoNH5cFxh45csSvsCiM sf5hHbjMeuoy+v777/3mq2KrostFoBiBh0WOTz/9dFDq27Zts5T4Mkjm/Pnzvgyp8dNP8/XXX3fX Zgrb5QcOHMjMgvNFrCkmO8h2dL5//37Y9gQcM7yELWH+MeSdg2Lgx7ibBDF/6dKl5pHdu3f7WHNT COic6Z4YG2PSjPemc1a4oFa3NMa0NBPL11WWsDsC1dOvO4M0iZHxlcl1RG7dj2kfwtXYtRVOGVdE KbfpZuTixYuJOpKZPrWeMk/wLtaISFoEKhN4pHQKVtytiPuH1Q2LUpRpBGhQrE3PDF3210cffWSJ cMmaNWsGCft1mNpowZJPVzNPnTpV2qLAhZhg9rpMfU1cs+7bjuEcNBB24bVr19JtgWuzStiS5h9D PqFDLnzHZJC7EYC53/YNasgyU/B1jnFcjI25aRbypnNuRVa5WsWYlkBkvSUO/3zYHS4Xrk0UUVd3 CsnkVnNX69P+jUEaIxMoOVbqrE9DHbSfJo/5fKe1MQ52WJNiBPy+dSILg+xarZiiKxkRqIVAsblx v2mO+T516tT58+cvXrzY5u5OnjyZvurcuXP+SS7ZsWMHtYUZv8wsDh06ZBF948aNkyZN4juffLdq tm/fvhjFisocO3YskSk/X3zxRWIt9faxxx5LJ4jhHK+++qr9dfXq1bRMc7bEkEefovAzuZ04cYLz oLDO1ueff14Ubzn5SBvTiZfwZl2sIi2NNI0KhQd/+9vf+slGuoNaY0V0y5YtVneYN06oFyPDJZHa lqv7uUWUSXual7TyfnZz5szh56xZs+ykTY9fuXKFzyVLlhgHO6xJcYclnljh4t+FCxfyuXfv3kiH SkwE6iLQbMx2NcQGlKtXr07rbSPRDRs22GoTq4zUHMIhR1qY1aajR49mJrVy5UrO828TG1V27dpF 4uluBH2F9evXL1++fJA/bt26ZX899dRTCZkWbAFFgDz6FIKfaSP75gwOPTProJRoyO7cuXPpv4/4 7XiDbAykWc6b1VkBp5Clue47e/Ysaf7iF79wrinnDivY+/fvDzQruTIlHBGDNFfm3r17OPSbb77J VJ4uNefpHFO+2HXBdzooFpj//ve/8/nFF19gGuvTrG0nSt3OnTtfeOEFEudYtWrVhx9+6LKgm0IP lf4EydbVFisdEYgiUHq0bqkPmhtnRou6wWFjr4SwP/XEhJUvgyTX+pNOvrBNZ2XmG/irtI3+HJqf KdO/xEL/MElTFXPsL4aeNrPHZ3rOraItaQ6We5h8Yt4vF37u3Lj1CWx6FiymVeYKRabjBi15DpqW jLExnGYae9ibTpOKrHItjTEtUZLTSHPdkTlF75j4pTQxp50pE1PN081QIaS52FGMBActimGRT97f feJ2z7jdGNRcn4BbsXZrWD7/3Pnzis2OLheBTAI/iwrsxYXogfqTWkSvX//6125uyk+Pk++///5b b73FnJ4NgOzau3fvMootnnPGFUX7wnTDM1V1STN6pt/t5wRc95Oxvk0G2EHNf/fddxNzbrXYlZlI PHkurw7fpvd///vf88ngg4YMJ+LKwNxDWm0QrVixwj+fudzgBGJsjE8z7E2XaXVWVhjClsaYlgDo F62K7njw4EFuQfVlYrQNmByDNFfG5rQHVSgGxxRIgjGTQMCxuYT33nuPT6rw3Llz161bRxZMenEz CNUWMTfDR6mmSCOJWDr9p59+mpM2WNchAu0RKN2XMRXDe9D83Wduc3V4iweXuP6v7WZKDMotX3+j rJngtt6k90AVpZneNWMp+OODxCxC5jjbuv+DDHc7YgrZEhj4+kOoQeSLwg+Ps91mLlyWADLIC4kC kznsC5TJGBtz0yzkzUEj/nRBDbPK1SrGNJ9MYkcVf8W4I1ONxDiyqEy4mqdr0yD/BpC6S2JknLCb +LHS6G5wyByU+1YnxtBujsHX3KDFW1e6pdWFIuATaHY9mzVO2xRGV9dfDXJBlBEwy9j+OjGX/OEP fzABtxjs5OkR20RWejubbb2hQ21dY/9IzGPn/rSlcf+wboRr2lCDtS4Ot8XMF543b56tx3Mw3Bw0 cClnS2T/I5c86RSFn87a3Y3N8MWW/dwEQws70WJszMRVyJuWQnVWkY4zsRjTeDJBIs3S7rDBon+n ZVrbgEyMtukEY5DGyATAugbE2gRqok1ysATOJwvwRWfg0nnZaFuHCLRGoNmYba2P7SLZtGlT4oEb nJw+fTqtPDNstj3EjjNnztgX/k2DsC3oW7duTTzswubVM4Ooi6CRX9Lzuq+99hqJE5n8h6hQ4f0b TEv4rIQt8bmEyZeD7+dOk4dPOcPmA/9uGXN3iZ1o8aY5yVwbM9Ms4c0SBbWEOf4luaa5vqAFnnLu sEeOmB/ZTZmpc4xMrrbplGOQxsiwcQwCmQ+zc4jsXwyxvj4rL1zFE5wWLFhgjZL7a9DtKmn9bfD9 +OOPV3S0LheBYgRKTztYNuG5cUvcTUkltnjYrKNt7OSw/SxuP4jbhpbe6+FvHvEvSTzQo7RpmRf6 D3IhU3/fnJsfi5z/9KdbS9uS5p/OPU0+MTeeCz8w3+v6K4lpcDdnm5iBzCwwg3ZmZRYtp7w/ITmo dGVWA1dc473pnFWFldM8oFWk+/zCaYXH1lYi3TEIuI80XqaiI2KQ5sqE56gNkW1rtTqb2BDKT3eb YuZeUdhmzo378OttapSaCAQINPtMFX8VylorawETYZjq5EK1VSo/qqVjtrVQgUsacjlBKLHFHd38 yFQiZpe2JSZmk7hb43RIC8EPxGxrs/hM07a/Ekt9DcXstI2R/YBIb/pFMVxQA6zKxexM9/m0LZ6Z hpHuSMPhwsSafbxMwsWJwhbjiBikYZlwzE7sG6f+2tONbCzhHnlkJdn9FbOebeXZ363SULOjZEXA JzCBH8UG5o1JM39lt1YHdoEmMrdLcrd516sys2q2ThavZ4wCndjiFCsBP8ao4Zcp4c3hYWW7nQk2 thG6v0cM0hiZQQTMy5kNBQ0IKRdtQ5iNZ16dkM8TafqLXZr3kcAQxew+4pPOItAtAbZEsJOD5Yn0 1stuFRvt3Hn6E4+BYs683o77aEOTdbUQaHwPWi1aKhEREIFMAq+88grneZiX+LRJgC2WzJ8rYLfJ XHkZAY2zVRJEoN8EeCY2Ny9lPuu334YNq/bMpfOoVO7yCj95aVjVl179JqCY3W//SXsREAEREIHx IaC58fHxtSwVAREQARHoNwHF7H77T9qLgAiIgAiMDwHF7PHxtSwVAREQARHoNwHF7H77T9qLgAiI gAiMDwHF7PHxtSwVAREQARHoNwHF7H77T9qLgAiIgAiMDwHF7PHxtSwVAREQARHoNwHF7H77T9qL gAiIgAiMDwHF7PHxtSwVAREQARHoNwHF7H77T9qLgAiIgAiMDwHF7PHxtSwVAREQARHoNwHF7H77 T9qLgAiIgAiMDwHF7PHxtSwVAREQARHoNwHF7H77T9qLgAiIgAiMDwHF7PHxtSwVAREQARHoNwHF 7H77T9qLgAiIgAiMD4EJDx8+7Nza7du3T5kyZcuWLb4ma9euXbJkSeKkEzh+/Pinn35669atlStX vvzyy5MmTercihgFRslSbLl8+bJv9bx581avXr148WJ38vbt29OmTdu2bRtndu7c6c6/8cYb+O7A gQNTp061kwcPHjxx4sTHH38cg7E1mXGwsRaYMaDSGR05cuTGjRuD6ngtitWbSIyZfSzzo2qX836u gea1a9eu0SgNeWM1FONsmv4ffvghUbuOHj2aPmkyBOxVq1YtWrSIuH748GE/GNRbRWtPbZQsxZbv vvuOPpM7OPPcc89duHDBcfv222+XLl06e/bsXbt2/fTTT3b+0qVL+/fvx7/86yTx4/Tp02sHXjHB cbCxIiK7PAZUIiNq8YYNGwbV8Vq0qj2RGDP7WOZH1S5XAHINNK/NmjWrB40V4+zOjzVr1jAUS6gB 7vRJZO7fv89f9IZM/uLFi/w8f/5851bEKDBKlqZtwTWU+9dff92h2L17N57CO/gIT9l5JkhMjH/t zPfff48A52MYtikzDjbWwjMGlMsId+N6a08z63gtKjWRSIyZfSzzo2qXKwO5BprXkB/+xmooxtmF usPXr19HftmyZXbV/PnzCQD+2K5QasMs3DtLWaGYOXMmk96O6rFjx5gqX7BgAWeuXLli54nNTKEv X76cf+2MDbjnzp2bcAdzp0ylGAeOPXv2MKnuxuud+G4cbKwFbBqUS/aLL77A9TSOtKS15NVhIqNa HkbVLldUEgZaS8W/5RqrNluq/sXsmzdvQtafRyVOsA7RYb1tKOvht/TOnTusA9nBjDdr0sx4E2UN CGfOnTtHHaB60DqfPXuWk0RcJsZ/9atfPfvss/yLDCeZuaLjRfcrQfKll17izObNm/lkKnXr1q3r 169vee/CONhYSwEOg/KzYJ8KhcHf91CLAu0kMqrlYVTtcqUiYKBrqRAu11i12lI1MYNUNM1CM8aM 0iDL9JrLhem1vsywjZKlmYMkt2aBdz766CM3T855orKbejL34Udk+JKJxV/7sKlUN5detICVlh8H G0vD8S/MBZWZS8DvtWhVeyK5Zva0zI+qXf7ceLpL5xor32tcUq6xslXaFlqqHoyzT58+zQDODgZb afR0oNrpYjedS+8spaqf+s9hmy353Lhxo6OEOWwVtJ8MqhhVM8vNKgZX2XZxelrIMPJmdM5GNs6k CTD4pkYxwibkv/nmm027IJ3+ONhYC9VBoHJLdS25t5bIqJaHUbXLFYyAgX5LFdlYddhSDUvMzoy7 3AAGwX/84x/cBWQHfZkZM2Zw0nai2cECqkn24hglS7m5i2VpDkI1kXXTpk2uU2Vz4E8//bQ5xVaJ rl69yv5wN3n+/PPPI/Pll1/y18KFC9O+tmvv3r3boWfHwcZa8A4Clai/teTVYSKjWh5G1S5XVAYZ mGipIhurzFLdUktV+/RRiQRtPoEw7K61eYbMjcS2x5ix3ZBvOc7kMEqWpic2bYaNvQXYjoNsMtwd zJNzIOA2kNsuBE5y4aBi42acSK39FZBxsLFEhU1fEgY1KIs+zo0nCuFolPmRL+cBA9MtFcW1RGPV Wkv1SC01tmIiZi2Y2ErKdyBaTfAXrf0sqDYI0OIT5omCtOZ+vK+oTKOXj5Kl6WrgrIMhfkksPzMQ tz6vTxjfccZfBff/xa3kYhGdUuH31Rp1k78MlmijR8/GWkiGC8MIx+zRKA8jX5cDBqZbKopr0caq zZZqKGK2a5HdPAZNuRuNpSs8sdxtmkCyLzdnmyEWe0bA0sxBki1sW9fVzYWY4da6JUKgyQ/yoE1L 2MCdg2tJdlBPrpbYk0hkHGyshVsY1AjHbEwbgTI/8uU8YGC6pSrRWLXZUg3Fs0stgLGuYHfistue 59H4gS3zO8JcgmTLN//kKpYrMBqW2n3Safjc9zVx4kRWqbmhK/Gv/eWfJJEHDx64J5gm0CXkTTiR Qi7tKgLjYGMVPu7aMKhBNXTQVbWo1EQio1oeRtWumPLJ0wJWrFgxqB2LbKzabKmGKGY3UceUpgiI gAiIgAiMDIFh2Tc+MkBliAiIgAiIgAg0REAxuyGwSlYEREAEREAEaiagmF0zUCUnAiIgAiIgAg0R UMxuCKySFQEREAEREIGaCRTegzZhwoSaVVByIiACIiACIiAC/yHAzWYBEmVi9o8//jgObJ944onx sTRcSvrubjqao22gc9D4WFpLmRxJXCNp1PiU8Fz3aW68lrqvRERABERABESgcQKK2Y0jVgYiIAIi IAIiUAsBxexaMCoRERABERABEWicgGJ244iVgQiIgAiIgAjUQqDw3hxWyMdnZ9b4WJq5RWvPnj08 jDeznH388cfbt2+/fPmy/y9vqF29evXixYvtJJf/8MMPO3futJ+8JX7fvn1Hjx7lO+9we+211wZJ IsADkE+ePHno0CGT5+0gr7zyyvz58y0pHjVvL2ZwB6/uDjyjPrytoyszwzb61mHv5s2b//znP+c+ hz93A0u41Qij4Nqw0xMeL+T0MA3+/eSTTyh1pMn711966aVa3jKQiWtoy4MPYcmSJevWrcssD4PK wNDa5crkkSNHbty4sWXLlkAp7aN1x48f573St27dWrly5csvvxwuurlVWOPsWro+o5nIggULKGQc BGNiJ5/2kwODCdjfffedO8MXzjz33HMXLlwwHARsF9QptS+88AIp8JI7DorvIEkL2G+//faqVauc POmgDFXaUr569equXbs46Y4qDujEzFwbfYveffdd+NuLHBo9wihyne57HOF4p+fSoOe3d+9eojUH X1xHsAkaQ1seqBQOAp3p9evX82qKeAJDa5eZQGnZsGEDRSjeIl9yaK3DLpqyRYsWUXQPHz5cQ9Et +iY+MDH6HIdjrCwNFwN7jWbi3Zrp19vxEllebMcY2r060159zeGf56e9bjZT0r3cMPGCTnvbnb2h lUE2CcYXXS6MEW7TzMyXkPo2OoXdq3wDb6d1wpGW5tLIRMFVYafbi+1d4vFOD9NIKMOQBTNreSVr GNdQlQdTxlUKzOcnZSPtytwyMFR2oT+2WMnnSLyrt+/W0dBhFMXbDEk4MbMa5rpP4+xyvTpdlUGA OZ+ZM2cyhs6lg+Q777zDQCEtyZCLiW7qsJs5N5k333yTGPDBBx/w/auvvuL1eQwyLl261MLoM6Fk dTNjbLRMMZDBhwvbuWDbF2iBBmsiNHa82rV962JybIEAapALTT+jSVPJXl979+7dGA3LybRjF7ox Z3Ds2DG6I3T4yqla4qp2rLO3Sy9btsw0pCTTiLmZyBJqc4lidjluuurfBO7cuUPgtIPocvDgQaZw mQJK02EddP/+/fyFDEWWoEVIXr58eVrSuqKE5MRf1DEWy5kS5zxJsdo9bdo0mrDJkycz+9SoP2o3 M8ZGLILS7373OwL2s88+26iBhRLvhAaNHQWAFhBfM7tIl27QO9cL2VJOuBMCLF1v3LjRLYVamU/0 a8uZ467qxC5yZ23+7Nmz9dqSRtGJdTdv3kST6dOnO30Y1Vy7dq2KpxSzq9Ab92uJnQROOwifjI8Z CmSOnl988UWm1uGFDCvZBFp2xGQOke/du4cYAmm4P//5zzlpXddnnnmGyMfBZBrLRRW7rmFH1m5m ro22TklwwsxMnh2WvK5oYDLr+vj63Llzv/zlL8eTgFlNaYcDJb/eONeVZ9vpfnVindV0/2CPTsWi q5hdEeBYX85cFpGYw9Yj+WQoMIgIo2r2/bJ2hTzNzdatW9lTkxZ+7LHHBqVgM4EMOOio/ulPf2Ls xcEInpPMrTXnidrNzLWRVoxt9kwqsILQnF3lUu6Ehqn63nvvsQTIejbrBfApp3/1qzokgPKMsOn1 UoOs5Nd4dGtXjYZkJjUk1jHcr2ipYnZFgGN9OX1GIjEHoZopXMbQmdPUjIyZErexI9EIeUaQyNPz tUGzf8yZM4efX3/9dZos61624kXYdpOEfOFk9ZoQcGTtZsbYyH1xqMQtXiwoMEPOdz6ZnOi8wHVC w7eaORsWBU+cONEVig4JUI8YYdM5pgbVcrebz7BDu1pwZSfWzZgxA9NsJ5odbPeZMmVKFXsVs6vQ 07X/T4ApXGInDUo6DNuWq8St3o8//ngmPoI6YwjuaUncx8K4isXyV199lYlBbmH0c+H83Llz23FG LWbm2ogthGqaZruVzlb3+XRbkNoxNjeXdmiYx9kwkatP+wLtEDC7CNjUI6YZArNZdRFo0666dI5P pzXrrHfOvammG20ajVXFWqyYHe9oSeYQ2LFjBxIsOibkmMHmti7COTdY0/Jy2E4iTmY+FIKGiRR+ 85vfIGbytFbc3k0sZ4xlJZ54RgWgN8Bf/CTx1txTi5lhG7GFZoWm2Q6eJ8MZPjG/NTMjM2qBBh5n YP3Xv/7VeZwlbWMyDEcLBDCTWkCZsXkm6oUdjfZj2rGrKw+2Y531zpkzY4xBY8VDoijJ6Q22hSD8 rJB008IPHjw4c+ZMfC7soZ84cWK8/PBIjqSlxGZCKS0L8SaxJ5zl5yeffJJlSOeCwIIcgZzoTlJ+ JOYnjxDicqYEuS3krbfesj3kHCyQu0ekteDiWswM29iCFXVl4dNIpBnv9FyP/+1vf2NpgK2OnXg8 zKqd8mB3pTNKsycD2kGlaK7kt2NXXeWwaDqtWcfyFk3i7Nmz0ZCATfyuuKgxXM8uJZIVWrHjKXfN xexG3589bJbmvl6aIU5ie6ft+k6XPyRxCufTAvxld29z84OfWiApk/cXsK1ycolNj6f/Stfe3McB ukvaN9MxCRuSViyzkYq3NLeNy8wx7HRLM1EkCjk9QKOQx3OtM4FcXMNTHjCfRiNhl1W0xMlco5Af Hrt85QeVLl+mp9bZOLuWxmq4YnZkTWtHrNGY3Y4JkblgaW7MjkxqOMVi6vlwal5Uq/GxtCiZprs4 tehTSyKjXQZG27qYfqTWs2upJkpEBERABERABBonoJjdOGJlIAIiIAIiIAK1EFDMrgWjEhEBERAB ERCBxgkoZjeOWBmIgAiIgAiIQC0EFLNrwahEREAEREAERKBxAorZjSNWBiIgAiIgAiJQCwHF7Fow KhEREAEREAERaJyAYnbjiJWBCIiACIiACNRCoMwzVWrJWImIgAiIgAiIgAgkCISfcFU4ZouvCIiA CIiACIhAJwQ0N94JdmUqAiIgAiIgAoUJKGYXRqYLREAEREAERKATAorZnWBXpiIgAiIgAiJQmIBi dmFkukAEREAEREAEOiHwv3/84x+rZMw7QTds2DB37tyZM2cm0rG/nnrqqTlz5vD9ypUr7pX1Jnnp 0qW//OUv//ffB+9LXrhwYRWVdK0IiIAIiIAI1EugSrAzTY4fP07IO3z48I8//rhgwYJHH320hIZV x9m8hv3o0aP37t0L5/3222+fOXMmIXP//v3L3nHy5Mldu3bduHGjhBm6RAREQAREQASaI1Al2FnA XrVq1aJFi9auXUvY3rlzZzlVq97rdfv2bUbPp06dWr58eUADtFy5cuXGjRsDMtu3byeCv//++5Mm TSpnjK4SAREQAREQgSYIVAl2jNEnT5584MABC4LMMTPOPn/+/OLFi4uqWnWcbfl9+eWXROUJEybw iTZ2Ei35efr0aYIxY3F6FvwcpB99EAbZO3bsUMAu6kLJi4AIiIAItEOgXLC7fv066i1btsyUnD9/ /tKlSy9cuFBC53pi9tatW4nHFy9enDdvHt0H08/NJKxevRr9VqxY8c4772SqSHRnomD37t1YUsIG XSICIiACIiACLRAoF+xu3ryJbtOnT3casgPs2rVrJRSuJ2Yz5F+/fj0RlyE14fmzzz7zVWH4j36z Z88eNA/wySefnDt3bt26dSUM0CUiIAIiIAIi0A6BcsEuveWL8W05heuJ2S4YM7P9zDPPfPXVV4W0 2bt377Zt22bNmlXoKgmLgAiIgAiIQJsEKgY7p+qdO3fKqV1PzPbzfvI/R7w2rH8zyGb+PP4SSYqA CIiACIhAtwTig92MGTNQlVulnMLc1TxlypQS+tcTs//5z3+6vLlli2nweFW+/vprhFkFj79EkiIg AiIgAiLQPoFywY6HlKDq1atXTWG2oLMvu1zUqydms4OM4TJ6HDx4kEGz2x3nA2XC3G0p989/8803 a9as0Xbx9gufchQBERABEShEoFywmzp1Kuu/+/btY4M2e64PHTpk+7ILZW3C9cRs8qbLwI3amzZt 4l7t9PZvdpXv378fGdRNaMk92aVX40sYrEtEQAREQAREoByB0sFu8+bN5MgkNDdqHzt2jPhdbqRa 9ZkqNsynE8EnE/TsI/P14OTEiRPtjPUvEgKctyheTvty0HWVCIiACIiACBQlUDHYBeJgvCY1xOz4 zCQpAiIgAiIgAiJQmkA9c+Ols9eFIiACIiACIiACkQQUsyNBSUwEREAEREAEOiagmN2xA5S9CIiA CIiACEQSUMyOBCUxERABERABEeiYgGJ2xw5Q9iIgAiIgAiIQSUAxOxKUxERABERABESgYwKK2R07 QNmLgAiIgAiIQCQBxexIUBITAREQAREQgY4JKGZ37ABlLwIiIAIiIAKRBBSzI0FJTAREQAREQAQ6 JqCY3bEDlL0IiIAIiIAIRBJQzI4EJTEREAEREAER6JiAYnbHDlD2IiACIiACIhBJQDE7EpTEREAE REAERKBjAorZHTtA2YuACIiACIhAJAHF7EhQEhMBERABERCBjgkoZnfsAGUvAiIgAiIgApEEFLMj QUlMBERABERABDom8C+DmIt2WCWxyQAAAABJRU5ErkJggk== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0036_image219.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODdhwQHIAHcAACH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACwAAAAAwQHIAIcAAAAf Hx8QEBAICAgNDQ0LCwsMDAwGBgYHBwcXFxccHBwbGxsWFhYUFBQDAwMTExMFBQUSEhIODg4CAgIR EREJCQkKCgoBAQEYGBgZGRkVFRUPDw8eHh4dHR0aGhoEBAQ8PDw3Nzc/Pz89PT04ODg+Pj4yMjIl JSUtLS0iIiIrKys2NjYuLi4qKiojIyMxMTEmJiYwMDAsLCw5OTkpKSkzMzMoKCgnJyc6Ojo1NTUg ICAkJCQvLy87OzshISE0NDRQUFBTU1NRUVFXV1dWVlZHR0dJSUlSUlJPT09KSkpISEhBQUFYWFha WlpVVVVcXFxFRUVAQEBMTExeXl5ZWVlbW1tUVFROTk5DQ0NNTU1CQkJLS0tERERGRkZdXV1fX19u bm5xcXFpaWloaGh5eXllZWVzc3N1dXVtbW1nZ2d3d3dvb29ycnJ0dHR7e3t4eHh6enpwcHBmZmZr a2t+fn5sbGxkZGR8fHxhYWFqampgYGB2dnZjY2N/f39iYmJ9fX2ampqfn5+WlpaFhYWNjY2AgICH h4eVlZWenp6RkZGZmZmIiIiXl5eKioqDg4Obm5uGhoaYmJiJiYmQkJCTk5OSkpKCgoKMjIyOjo6c nJydnZ2Pj4+BgYGUlJSLi4uEhISsrKyurq6+vr6tra2vr6+9vb2rq6ugoKCioqK0tLSzs7O7u7u1 tbWjo6OxsbGlpaW5ubm8vLyoqKiwsLC/v7+6urqpqam4uLi3t7ekpKShoaGnp6eysrKmpqaqqqq2 trbS0tLPz8/e3t7d3d3f39/T09Pb29vZ2dnLy8vKysrGxsbBwcHIyMjMzMzAwMDExMTc3NzV1dXJ ycnFxcXa2trX19fCwsLR0dHDw8PNzc3Q0NDY2NjHx8fU1NTW1tbOzs7+/v77+/v6+vr9/f38/Pz2 9vbw8PD5+fnr6+vy8vL19fX39/fq6ur4+Pj09PTj4+Pp6eno6Ojh4eHx8fHl5eXs7Ozm5ubz8/Pt 7e3v7+/g4ODn5+fu7u7k5OTi4uL///8I/wDBCRxIsKDBgwgTKlzIsKHDhxAjSpxIsaLFixgzatzI saPHjyBDihxJsqTJkyhTqlzJsqXLlzBjypxJs6bNmzhz6tzJs6fPn0CDCh1KtKjRo0iTKl3KtKnT p1CjSp1KtarVq1izat3KtavXr2DDih1LtqzZs2jTql3Ltq3bt3Djyp1Lt67du3jz6t3Lt6/fv4AD Cx5MuLDhw4gTK17MuLHjx5AjS8b6T2NliZelZq66efLKf6BDVxYNWmBp0qNLgyNtkfVq16pRE0RN +/Jp0a9l5zZdWzdv1rR/xx4eOnfv27gLFt8tnPjy4MaLu46eEHjt2ao9Dwwnrnv3ceC4f/8vTS7c anDlypUeJw486HDmzmWPCLocOnLrvY8rHY4c73LpnDcQe96J0593+F0Wjnn/jKPOOvwV2J5A7IEn kHj6kSOhfwNp2F2C4HiIoGnjsAOhgv5V1o47+IW4IYHemSciiLORw2GFHeqX33gK6lgZht+tFo47 4sTmYDvzzQbOOQIWpKGFAq1TpHamkQMIGFiCEQYw/wSSJSjvgBaKIJWFIoYYooAWzCDwgBYPIWMU IkySDYE2DBllGEIMaMWYAcYZo8jToCCkhFYKGmKY0uJqxviZ5SGjZInIMaGdgso/7aCSBhrI/LMO IVmCocYwoCUDhjKgtZNIqGcMs0yoYDD/k1oqklL6TzNrhKpKfYqUwYYzoM2zyDOgIdNGGoHItwqs rNDjRqiM/BNKlq1Ak90/4ZwSDWjSgCFNaMnk+oYr6IAmDxxgxPHKnv/M0wiWasBST4OnwGrPP/Q0 Iocj94J2zyNpsMFlZ6/FEkYsq50zzSqxiFJOM5DgY1sxhyzq2T/igADAxhvL8g8QHIcwSD7/zCHC P8WMsHEQ1PwjSwDV/ENOJAJsTEc6BDP0jztubDyAJOrNwjEJg6QzTgl1gCaMEBuTQIttq3C8sQli cHzCG6T+I8QQ/1iDwsZloHNPClIDUApojQBAiL8qlC3KJGUfkpoaVr8xZytloyFmABsT/6HPP/uc QMk//NixsQq1/ENH2WFcQ4DUK5TMsQp39JPZP+gUgQ1okABQSaUcl6BIkcwUsDELhcwDeAscGxFJ e0eUXcw/lBgAwAGEnJiKCxvHMa9B/1CDxABAUMoPHkkogYQ9raSwp2nKiHAvlRgrUfYo/yDBMQIJ WFPyEv9YMoACCxSwti0w/wPNCxwzcEvOC/0DSwMcw5DNP0L7vMDZSIN2iem3Y4I6RkOLspWgahtz wAMeARohNOEfeYCACyIgAVO0IwZSQ8AyQKMHAMQBNO4IgdQcYA1MlC0Tc+OYAjXxD7xJ7QyYW8IE NlaAz8VDcP/ABQUGIAMHOOEfiygbGf+08TiOgS8PHINAA2wRG2TMgF1PAEAbQhMIjlVABdsq3cYO wIBUAI4GHLNAC/zxj9hJ7Rj4qAEAWACAAGwDU0NwgA0IIAAvXusfmDDADAywiX8QowEGIEADhPEK F7BrNfSAwirg9xhykOISUTgAJzZhjHEgoQWSKIT2yMQGKaQDBDPIBSAsIIV/kMIGLesEAKZwiT0A AA8Wq5M4qHCBO1yCDwBoxD9cIYAxOGIIAHDDP4rAhn/AAwUYWMQlREAAbpTmHZMwBARKcAlThCED hXBEB8cAGiaUoR43SIIsLgGAOYDjFZdAwQMk0YkwlWMGAMABhM4xzhwQABKV6IchNGD/y0tcohi8 2QM2tQmAPPwjFxtYgz8vsTlZAIAKlxgEBEiwDnywIBL/OMMDNjGKGETAHs24xBwAUIZLTKMZGICo P7EnBg44whJVAAAZbpMJKCBJHTkAQAmQ1KUN1KEQTPPEP5KRACo4Ag8AeAI42MGCJVziDjgAgPeO QINGLNQcpbBAHUgRRVD8YxgZsIIp+gAAOCRHZiRggSpYAIJ/BOMAVsASP16hgjCFhhxVWBsjHQOa NxQAZzJLAhI8hQ0M6I0NSNDHBixRGSoQAX82GAY4pEABbRAuBDqIx16BF48MFGGA3ejAHkyJAWyQ IxsSuMI4jFDMZRQUNLI4wCJuA44K/8DwH2ZggTnCUQ0TYGE/TBDDNRBwin+kowh5eI0VdiAaf9hA Aw0I02v4kIFwgOYONpjXc/4RBh7MIxzeeAEU/qGLBQysNHbYQDNAk4UX8CMfF/1HCXoAGkzUoBvc MhtomIEBj4nGDzNohziuYQMhnKcydbADaPQBAw0wgB6gycQCsqEwDSgBHMjIwC7IcYwZBMAc+GiB We/RCgswUApZII0kKmAtbqBAF/8oxQR4AbgRvOEf94gHk1bTDgeE4R9xKMA/ROGDZpyjTbpggT/Y wY/QgKEKdIoMaMhQAHeAhhxGAAJo1oEDLbMBCNQAQB9AUwtESMsG+rgHDIKQIEMYAP9VEPmHNCIg N0wZoheknUaDUrCEcyShmIeowPtWZwXkVGC0uOXBAP/hBxsgiQlzYAYAWGjKXiTICScQzTRsMIXS psYOGXgNdkm23TjEQD3/0EML/oGKBeA3NOsQQRKs+w9bRIwf8VUBCUDjjU7M6R/IAMDT/sENDPiC NADezz+CkIMWecoIkACNNWgwhQyg6h+Z8MDszlEFG7gjGxhgIjgkQQFgpEPEdvIAJ/4hhSuQhgwA iNk8HgEsXgCgULXGXjYYwY7RnAMCwqSytFhgOdDoIgbY6EQuAGsJHkyJSqtpQwH6LbMsh4YEeODu FfaxgxnAYoD3AoUN3kGNAggVNLH/+EArNqucQFiAWKCxh2ZHkYAN/uMGWghHEszwDzt4QLOguQIM FvWPclTgx7hlwT1AMwUSWJcJY4AGBopgDSbZI0VCcAGSViOIBORiB2QyjR4wsPR//OEEf+MOh0AT Bx5Y+R9PwAGrPeBM7vwjHhi4cbDe0Q6mYpQJGnjEvdgRoK4BABf7xYCZF4QfPsyA1kiAwn5WU48c MPAflchALlIAiAhnoFN4lAAxgIGBS/1jFQgIRTtaMNN/vEMBk/hHFpTQDnB05x+a8KA3dtbvZGwA CMyw0T7+YYoRzGk15BCBHsShhxywGgWEOASSXgEDKnAgBzZHhQemB/F/SJzi5NgC/xfg0Y9RCAAT 3E3COdIAACmc4m/Et0E/lAEA07sVAJewzXFsc4cLbEsc7VAe/wAKCYAL5CAMGvBDRjBFXZACi/YP eGAAOGMaRod0bXAD1QAPygADGfcPTMAH5ZAFD7ULw2caWcdT/wAGAuANNlAGtjF2ZVcIGEAJvpAL rAAhr8EGNrAN8TANJ8AHLUQBlmAKuQAK51ANAIB+49AO3AEO8RBfpwAANIAJ3zB5hod4cpYAaQAK ufAKllMGMUAM+1AKCoB0qxENOmAKoJEHD+ANJyAGngd6qAAAwdANpQcazQAAjBAOKiAG+KAPmFAA iyQEPIAKu9AKxBINDHAAewALZf+HDz0AAH5gChRHCiDwPKABCFFwCkugS5UQAT6gAe/jCxGgAjxQ AEhXCgsQDCzHGN43cVcGBCdQCXRgAxPwC9xVBP/wChNQAQEQByRjCvLnWrsQGtsgZsjRG6+BBh9A RvpAC8ogK7PwAITQDI4wAcJUBDCkBScgH2uIACW4GhUIGmqgAZDgCT1wALrkgXrwD+RkAD7ACfKx GicYGkMQA+FgAj0wJalGdpwDARzgAj5wBeaQGnugAYbQCDhwAPnXCxDgAQJJAvEQbCg0D88wDdiA D/XAAtFyDWTjAVlwDaNhDYdXLBqgAQK5AxskBh7gCYbwAx/QeaMBCwcwbFZQA+H/wAJRgB+Z8Hmg ITTJUAx3+A/HAACUkJMjEAlgwAEUQCpDUAE+kAI+AEPqwDQP8ALH1iDwJgEu4An7cQ2C0CamsQ0v oAMvgF/X6AEAQATgEAoH8AfNgAM2UCwp8DQQF3GwKDNO8AEBsAATwAdhEgZdMA76gAY18wDFOArD CAB4BhphJkyVwR42MpmUKQ4JMgYIoFmmUARXwATlgAsG8AJJUD6s8A9dAENYAAPe+A91gACCQoFH d10TsAA6AABHcD8eOAX/EA14AAFtFAujUY+eggPcVAY3IDGrAYOcMwEKkAIcYAT99hpuMJu1GQTf sIsQgAEuEAA54A/TUH9+BARb/wAEtFAOHIljhMBGAGAGtXeFxcIAEeACOpACiQMGE9ABfEMFu2ca QiMr5RACc5BqLVCQPQl6vwAAyiCUpicMALAJ52ACFZACDxABZFAO4DAEB6ADPtABYIAfvJAEG6MF ZDRUMQUANyAr6UAPFmoaorADOcABuxIJW7AJS7AB5dcAzjQFEgAa26ACrtCKi/GK4GcFEiADBaAA lsVdXYAf+hAGN4AAWyAOs7CYjfkPx0gHoaENqPAKXNqlXJoLteCNzGg5iVAADjAB/LAKFfAACqAA TbAP4HCa/8CNq5kHBwBhsIl0bjAALdAAH/Cj3eQFoFENfLADDsAHCSKcYMUJ+f8ACRlgWcnpj//A CQ9gCYywCajQZK8BB3z6ABBAY+S1AWwQCYkACPggDZP2D96AAQMAAIpADue5M4IgAwIQAK9GklhI VFQQCZRQCduCBhagAhRQAGdjG6EAANdpDAnwCflgCa6GbT5pSgiqoDyahOfwAgzQAg4AAsM3DkcA A4lwCJjAC7RWC1ywAABgZqChDVTgAxfABso2EDLjByHgCjlwBO2ADGeDCg4QC8L4N3igAaDxDi9w KXcppFeWBSWADVfwACKppEXyD/KgCjlgAPugCvJHfzCmJgBgCKGBCS4QsiI7slOwaH9wAbs3C0fA O/gACxuAB55wCckwDuHQBQz/6AMPiAcFgJziGJsZtQO1EAYfoIaBGhrQIAsuoABNpjVaBxqr4ABf gAh2AAFE249lRwcpoA31AA/1IA68YQYnUAtrAAHY0yUYIC/sgA7glYR+pAclAACHMA6x+g/18Ax6 cAGmh6uJRwn4wA7soB5ygAKiUAYIAAu3gTctcwsOgAea4AcQUCgFChpz+A12aHp5KAjhIAN6IAoo EAMSQw5XgAX7sLX5oGzrUAyS8FChMQ7HcAoY0AKoZhvpkAFi4A5wwAHysA5Icg0aEAiggAKWgwcM ABr7YALRcrDfd2VGUGi20KCgEQZG8A6yQHFvAADewAojVw0fkAihsQofAGOr/6EJIxAF5Fu+5CsC dfB2jwABT+MPz4BL+PALGmALWwsa4tAFPPcEHlAu7AUDW9ezSMcGL7CbBGAHCcIEeuAPvaAe4hBT gsK0PPUKAPAAz8W2HCSpf5BdZwVkJvAPwGAAcoAfiGBeomEPDVBM6ZAMuScIsKoI46AKuJkKADAI 0laS/8Bf/hUaftBW03AAPJcauQAAgjKHDXADGuA5uBetkbAB+nANQ/kMBUAK7UADjOUGBPA+49Bu pCENgNoPCxAC6mNp/6AORmABEhMb9tCx+JMASfoPwpAClQAKMkBGejC8xpQDxYW8eUkOSZBiJiwC VhYGV4AMMTBoggAA3YC98v+ADwsgqKCxCAWgZ6shDKvgDJZ8yZYsCspwIthQAPkHGnAAAPXACgmQ JqFxv8W0CBbgPZjTAkEQG+OIWzJAMjOwAMYAGlRQBqLAAw/rSr8mnH8QoVFZAAZ1wWWHXUs7HGGA AuYwDiTQAdYywrMTGu4wA0tAa/RHCbD6CHysd8fIWO55w+FGGnwQAu2wDipwA3i6GqYAALcMBxYg lSlQAGDwD4jgAa8GBjRwD+DGRP/wCBpgbi0wWtOAAHIAHlosGpawBAnSAj+AP2HIQQjAv/LqDwAQ bbcQANpQDNe5DR6gCXJMx3bcDyvwo3oMfklQSuDABBbwLWEABMkAAOC8OND/kAqRRQ5KwAB7Ig5L sACWcyHl0A5CPdRCvQ7lkCL2kABcYGXt0AQAgA+kPGz22wXFVAoyBRq4gACOAMs+awYy0G+OAADF 9Q9UIAa3AACBwEET0C/CmQMv0AtdWAIkwFPKaXY30CbbtczlYgkAAGMjzIqr6wcGAHq6ALfbDA4n AALy4Qz4V8NYyF9VChp8sAJL1wYOgG+rITTrZQI5ANevAAL0FQgeIJLv4ANKMA7IgAHF2A5JwAEg 1gJTNA8mkAHDlwWlJBp1cAC7Zw4KUAK7CACzwF4bkA7rYA9bhy/Y+A+nkAHVwAQKhg0EgAumMMep ZsfbwAOGe9JXltL7Udjc/8sGV/AOOhADv8AKL3AC5uAKqPQPqRsH1nAIE0AF8xgd14EtQeAAhfAM hoABGFAOqZAAwGkaqIw5HeADoRALW1ABNpenoOHVbSINEOAH3ZQGx9AAUbAKoeADJLBo9WgOFXAE +zEOYEAAI1rXltAAZLAIKs4NvLHM/cYMEACECFUHKr4I7yPBQ3ALpvC2rnAOLKAI/+AEA/AIyzAE A5A44UxURFDjK1cGK6A6sQAAxTSSEmAN6oAAbNkgebAB5vAKEoAGi1A1W01UQ7AIbnABQAAO8ADb z6tfR2ADkFDj/RCFc4ANQXRjzGABWyAKvcAAShAOweAI0YljLtAF1VAFOv+AD0ugAd9wBxYgDLZA 3XUMGt9gAxt0sGBwAXhNDlrABfjRDXouDmCgBeRABg4wAiMAAWblC+kjDQEwAEKwAAJg0vJ6HLwB ChHgAEEgARIgB/8wCgSQ3ashDv2DWwMQA0UAAEigOvJaDuUEGmjgApqVDy1wAv3mQOTQQV0AoZ8D GkngAUiiDQBQz6ChSkhOBRJQdnwtNVsdGnOwA23CDzQAA+qwC2XTju9AAgCwBDzgACZgDOaAQ6Rg AC6gPUugXQVkR8kAQFMDgcz8D/bQATFQkIwCA6VwjFP+D3CTDM3LMTsgK1q0MQ+QCxDvA+R+rGQw DkFQNs5gDzQwAEeQABr/oGfucAUAkAQtYACX8goccMuvAQYNEAcewDU04wcqsI+okAKCQgUGgHIY AKndtwYTsOlYAAX40Q4koAH1wAZR4FY8cAEX8AMiKQs6EDPlMAkV0KpzwOz0YQ5xAACt+gT34wsb kDgCLgJ68w/VkOx8iXiz4exJk4I7AHTslwzLxgSnFwAfAABd8DersQULgCS8cAEyOWQQAOT/0AQC UHZhLTV/gNsnIJZlYL2gUDaIPw65kAAzJAOycGQwMDj4AEwIQAGvMBqMrfC2wzFz6Qc8UJDkQAQT MDuUNwOpAAsXoK7/UAsfYAulvzEaQAnqwQy5DwBvUC72kAJrABr9EAFL/6AOTFA27yMJB9CqbIBq psAAvnkEbXIKGtAypsENARABDPCj/jACBPAAKHQKLiAoTUAAoKEJAPGh3z9wBQ0eRJhQ4UKGDR0+ hBhR4sSJ/1ztuffv3zhFj8Zp1MUmXypG/8idokIkF7h/wSDB0xiPThAxwTRSZPmvWBwhY55p1Pbn GEGW5BjN0vgv1RMih9oRLfhP3BlSGmdJSqeR1pxt/1Dl+qeuExEmqm7+0/Qp3L9saqIR/LePDi2N veg8/Rcrzt69sZL+IyUp479Sc4QBC8M3ji2N5jYReaJL3b95jX7+o8VHyCB8cKHF8abR3x3Fif75 wrROI6s8wuCe49Lp2v+banDp/WGGeG8imP/kkY5jqSvlRa40joMkad0rxXG6CoMjREyxpPwIWWni ly2kekSlLhJyx5zGV07CxPuXbBG/f73uaByUohxUnPXt38efX7/BceQOkvOPpXHCGae/ooihZi1w DMzpnm3Yuak+jfLxJp+b+hsHIXIyZAmcd6A5hz6DNiyIwYIIXNA/qaAZpsOCACwxwBEDNLE/AAHk MMaDCLTxRg7/OceYd3ICh8SYvMmKPxJfvBFGE8FBMScvylhQww19jLDJLH8cMMUmM/wnHW/2ifCf dqjR50IZo3KHGgsPhCnFGDUyw4sI98MzTz33rOhOP//KCdCkogL0vr//zrqTUEILRahMR+GClNFH DRo00EUZtTTQQx8dtNJDKeW000mjugSLSjX9FFXvQt0UVVA9TfRTWRHV6AtOEuUzV1135XUhXA86 1dBfHRrWvmJ7fejYYPXLC4XukG3012MXBVZEStMxIpRpoeW2W299tRZYPMNNNs9tv6WU2D3/ESaJ odAN91wRyWWJmCqMoRddffflt19//+3VTEXeAjjXf6A5xZ18C2a4YYcfhphXjd5BL2Jm0ennI4s3 5rhjjz9ON0eQJxJ5ZJNPRjllPs9VmciWX4Y5Zplnprlmm2/GOWedd+a5Z59/BjpooYcmumijj0Y6 aaWXZrppp5+GOmqp/6emumqrr8Y6a6235rprr78GO2yxxya7bLPPRjtttddmu22334Y7brnnprtu u+/GO2+99+a7b7//BjxwwXP+M9qFzR31UoNTzdRRXVul1mWWA2YcVG43XTXzTBEvVFDHV06181Ut Frlkk1xEtvRG+zvcvgHDIWfLhUrW8/XYiVoyIdr1JSccAq1tPc/+fHfxyYN2z2/43w0KZ3bk9bOd KAxnh/gfYkS5XRllyrQnk2UmN3SdWnrrxxXVohJnll4mW9eYQBSJpBUyNUIlkPlhkUajYL5fmZr3 I8kFeuh3CgHiTyPf4N++NPKMRyhCEKo4H6Xy0QyNSUwdoVAE/JKRFP9tNEIbGoHGKJ7Cj1lkZFyY AYQDcSEOjfDDE6UI0TxkQaZw/MI166oGIhTBiFdA6B+iSAUt7LGabGgEGT952A/vwMJ/FMITERoH IKjQhjhJjB9s6IZGsNGEeXiHG354QgLNxQstOGEIRKDEU8BBBS7UwiRO+INGEiGJ4FVkFmU84yFC BA4mdMEv5LBCHzQyiUbUEXSO4AITnOCFX9DnH6xoRAV39Q92MCELigQDvv6RCxbQ8R+tKAGEgKGH Z+1HI2/oAhWc8AVRaGQbP6gDhIpBAmyEqQzTMCRETqMFIhBhCIpg4SA+4QY3iiMLhtBII0yTRFXU gYl6gMNZ+pGET1T/ARS5xMk/0DGFa2iEFzgwoUbOwIcz7IGFevJKDyDhCTSEYCjqEEMUJvEPcyjA ChqpwyVGBz5fBUKd7CQBNcIihiVQgp4eIIJG5kCIfWLTWP+oAxAI4QkhMGGPOcGDGFD3OHpoAQyE gMQKDPoPRBiBCbFTwwCGggsghHN02fwHHoZAiEYkgQ8aSUYQlBAaVkBAFv/ohxJsEhVFGUoRJTCE J8TQA3n8wxG7yEMntNkAPWikDIeAFFH99Q9ezIGJVHjDWWTRhWI0QgySNBg6ngAMjYQiBwpjCT2W EApuJAFf6NTEF7xxDGyQ4Jr08AQeyADUGzRhMlboRUPz849H6GGv/9ZYgbYAq4f3+AMGT1BNEHah WGjhExLUoAYiQkAMSNVCAGngp4TkcQVWUMMbafgCCwNhCSVkBAwdyJ8mmqAgyFFkI35IBDWOUYkR wCQWnijCT3qRAEToBAv0iJTLjHWIMlSDGrRYwS3+gQlgnIEO/xiGD/jwlCtUhUjLgpcq0MBEL0QT Lmc4wz+QIYUkTRIdX2DrP2YxA7j+4xkimEwQcOFQhpD0uxoBQyGvkQlDoOEfwKDCGugxji60siDv YE85/PE8XWZCkArVpzYyAYk4/OMaVIjDPsYBBWcQ5R0W0jCHEccGbdEzCdaAyzzWkID4Elgi1vuC PzRSiysojBK8SP/CPsjhhiGYZRB1uIk5hPyPd6RDQuTIwyo0Yo8i2GQXqRgCK0h6BKmKwgjzAUc7 iMFCdrADP/8QBCSSIodIjMMT+GgEG/7RjCGYAR3iwAI3CFIP6I5DHuXYqnrZ616NiME0/SDCO3ys y/vmd7/9TYUQNLKGV0w6ISQtRFLO8Il/3OIRr6gqLuiwBmCoQwvfSEogkMIMSijIWJm4FZ3kjItM tMIP//gFHeLQjXss4YMaQYRxuFFrT+vyH2wwzj/WcYVSwMUbYqjDe5pNLGLoAbr+zcI91gGHbhhh GPyAwx+kaoY46s8T7VCHJ2qTTXLModr/4IcRNmgIZPCh041wRDT/TRGEnKDDENBAy4ANJQhkIpgS 5iBDFMug3z6sgRr8iMJwnGHQeCxiIP36h6I10t6/+EEX/6jHFEIjsUpbhb9wkYWdmqiIbVMKEe3O hxRozghKTGMK/5hEJcBwC3YgYcpoQQM4LAGH2BnqEQfmxxYA8Y9DCOIZX9guJcBQi3ggQdIaeQQY wMEJNzSdcmGoCltm8EGWDAMXunBDarNJDC98exJAOIc9nsAPKgSDGmLQhJ7jQHOWGGMI2wBGFiRN bzFoxxk4cA0ejvGHOsvBF1MoxykczJJ5iGEX6hCClg11CFJrswim2MavXfHrRURCDMuQBxB6I40h 1AMWTugMyEX+/w+SJ6UKieVHGdhuX/y6fDIgwfo/GoFVdOrCCreIRSRWsL1PaEIefAgHp83wiGP4 QWEaAYYUnpEExviYpE6APiNWIGhLBEIffCAHGl7BBkRUgw/fN7H4t3DNmjcEwXughVUIAyNgD42Y j1cIq/7zlXeQgkygBVYwgjgCBi8YhzAohWl4glVwAnGIg0bSCHKQg0MgBC/YqIjYCDvoA2eohTQ4 gnIIByagh0xohHswgmZIgn4QhCdKikqYg1RYgo8zlkhgglpYhUQIgW5whjH4B2koAxAchTzohWuQ g9tJhyGwhTMwA7lDp93rPY0YgsSaBzMYKuKztJcDu+SLhEhQwP9/eIUHsAEa0AE5UJhGiIV58AN7 8ANacIRGcAY0WAuNWAcmoAIsAEJjaQU3hEMxUBhJKAVzwAN7wANnsIRLEIU1uB1pGwI2gq7O+oc5 yIAWoAEPqLNOyYUEDBh4+IEUaAEb4AGbwAZL+AdL6AVWGINtMIJ+GINm+AtByAIuODkJ4b0FAMUO 6LR9aAN34AUykIckYAcjCIZPOLmkYIYiEII4sDUJyYQHoAEa4IA1WAde2AR28YN4qAJpeINOuIUs TIo+OIIogAUFlBBVAAP2cgN3KIZikAciAMM2GMPHQQc9oA79wgF+gAZtgIZEqKp/AAQ1RKdcCIAk 0AEL+J5zwIT/bQiHKniGOfCGXGgETSCDdvAGbcAXQUgAMPC0f9AFHYDIAqildsCEYxCHJpgGrmgF T8gEODiHkMSXQ2CANYDHAutEFciCCkCBfeAHYNCGr0MFU5SYfSCBHlgCAFgDlkgFsNgEQ/AFSDAH L8BAeXgHbSiGe6iGGWABIQPGL+ABKfiAgdQJTBgHa/CDZIC/NFiFPIAFfLiGa4iHeXgCDGikN3sE H9gCBdiA/MkFXviHfGgCaUgDYgCERGCEO/CHa4iGc5iGFhCBNwE5XvBDjZiCQpCHQSiEWfg9ekKD 4XscdpiCgHSFEoiHQHCDR2iEm/qHSmBIczmF1RSEGSCtenAD/3T4hyrIhDeIB2aggzfwhHTYhDvQ hH/4hgF4hJPMq2IQBBxoKnRwg+6gAk14A3ZQBjpQg0tQTuZkCwRozsv5hzVwhG0Qgyogh2uwhD8Y MK9gykmSByGYBW4QgZKgOrPQhDyIBFkYBzaIhCG4B1e4g0FwDSjoAS0kiDLAhGqYgikstXkCBiGw BUdQPkYYgmL4hkJIwSYSgEKUEEawg27YhBEYIkPApXAYAl1QA36gBU7Yg0UIhTtoBHQ4BxVgAi1E J14oAyb6AknYBi8Agkfgg5PDhzFYOfvqO6uAAnYohC3Yg0n4tX+QhExYQ0QgA3CohyNllykIkTxo AzUQB2NoAv8v0IV5mAMpiKNmOIDmWqybBAd0AILmgobY+oc0OAMzPQYm8IJWMIc8cFP5goBT+Elw CYPN4gYs6IZkGAIgiMal9NEfI4YnMIZwIAQmmI81cCPSfINaQgM54ANwiIQsqIIsioIRcFByEINU +IdV4IKuYIRF+Ad/OAI6mLpD8AMn2AdRsAIhGIV/uAMKGKI3E4RQ2wcp6DQ/ODY7UAMyIIdiaIIv QIROkAI+oAdxsIEmqNRxKYUtUA3eowR4QAVA4AYxwCpcbSqJcYcr0LJ/sIUrUIdfOIpeSCiIQoUt /bA+wIJzCAY80AhCQAKfRIclQIJVWAdfYAQxo4QOuIM1wcb/UPsHOoACcciGK20EJCgxeCgBICiF dbCFhq3NDqADGZuxqgiHLuCEfQgEQEgGlqDURAUWYvgCSeuGE9ieuJSvKgCCrgiDEhCkZ4iEU9gH aviBJWhXesuysOgBhoIEQQiTMdgCX9ikECgDdaCGTHiEa7gHIrABN0JWOfuHM7gCc3gC0voHQ8iC PaCyEgiCUrAGRuiFeeCGFhCCUuKXf/CGGKiNdsCCxIqKPgg1ZFCC8ZCYcRCCQorFKjiOf2CGKwgR JBAzdEIEbaMFBTCGZ4BFrrIBB2uHKHBUgviIcGgCOxiCpb21dosFBRgGa9BQwLgBn1yHEeCClStd KrADJjBL/2TRCDbgPzeYgbX4kZkNGGKYAtJqhxD4hHFoA9KiByaQAQhZBB+YuiphrC2Ygl9kWu3q RCUgB0kQs3CgAwVwI1HQgTYgXpb4Bi4YgzdAK98ShNhNhRNwBjWwkH8whRMIq3vAASPAl4/4h0Gw AipQOJAzhxxIhHyIhR2opaQYhTFoh1egUIl5NinwB2GAAnXUiH1ogmuwh59dQ02IO5SjgV6AhUDQ CG44gLAChySogd7QiGrYAmUIAqs1v0fQNna4AVnABUT9h2mAgGgChyLIAR/SiGjYAm4IgmGl2WoJ AxzGhQBAuLOA0W/VJbpzjX8AAyTQB86QNiPwgLV4hQpw4v+kcDRB+IIS1CVXnU9ZuAFo2ARYc6oL +KBtiIDB+gtGSINS6AIyGb3OpQcUcINKwAtR+IBB2Igl6IH8DQsq2IU+6DGQA4c2oAEx0IItqBiW kAcmWAMi6LQnzglYcIEvqAIemE+WEAdLcAI+YAP2MRdG0LOR+4JNMIt/6AYAkNp/4AMWUCONWAQn GAc3EINzMpZOUEdwaAI9wARYfTAAUMN/0IMY2CONgIQhAAc48CpRJpQ0CGV4QAGs8o6ww+JkGYYj qGJVQAFAgAQ14gIe0KIBEFuWmCVvuAYQECh6mwLE9A0VmIRC+LpBGADo4ocF+DCWMIckyAR34IK0 MxZMcK//cfACF8gEAUYGANjXf2CCEOAtUZgBeMAFLgBkkJOGHegAF8BhQumDANgC0vLdcggCDggA MFCjnIiFE3ABhzYXU2Ao8sCDQhA0KoOCB44EO0AUM6CjVUADWJYQW8CEpGiFn2YG0YACXIIzOUCU MHgiWAADpp6kf/gED/yHOCi9qAgFTwqYdzCDKdsHJugDcS5bNdAIYdiC4YvVI2iHe/AJbDKJP2gx gqgDNfiErGiPI5iMc5iCVpCmLIA1TohOAvukkWJDFzCFpIAGLECGQZqDs3iFn+sHPiAYkGsHUEiE XdDMqDgGRrgFiZ0kZjgEQJg3SpmHXNAEvR2Xd9iGqICH/2nAhU1cB2voDPDSRf5QhmH4B3xQhgiS EH/IbZbYbVwA5HKwhgIchiIibuOuh+TmZoPwhk38h2jIBmDph2rglnLQBvYZB2koBUFjCWDIon9w B2yYB0ohBiRKBnlwnW6IE1xehlW4nXfghtgZh2ToXXoShcmohmJA2QLTB2qICnQAhOFTB2sYj38w hjH8h2rYIHFQhioCuX+Yj3n5hz9Ez3MQ4GiRrhPKHH44J8yBCgFOCrMDxhVvcczhj0O5RsqJrldB T0RRhySZFUo58dN5KEghhwLslMa5RNIVllVxhy66lM7RFBnfW0xRnB4HHvQyJagIls+xnHL+k8JJ 8fPa7v9qsRwzv5xq4fI1R/NKDfMzH3NEWSw25/EULxOG0fIx911yKWcT9BM41yo2ZpY/L6p0CXR9 KfMCQ/FCF/FCH3RxOXSt6nM0D/RYAfTBwfRM1/RNnxsX9/RPB/VQF/VRJ/VSN/VTR/VUV/VVZ/VW d/VXh/VYl/VW961Zt/Vbx/Vc1/Vd5/Ve9/VfB/begoh50AdhMPZjR/ZkV/ZlZ/ZmV3Z9yGBnl/Zp p/Zkf4dqx/ZsT3Z/KHZt93Zsh/ZvF/dxX3ZiiHZyR3duR/d1N/ZrZ/d194fmMcFMWIIRKIF7x/d8 1/d95/d+9/d8HwEtgAJ7//eCN/iDv3d774IRYPiGd/j/h4f4iJf4iX94KKh3isf4jNd4h1+Cgd/4 jwf5kHf4KPB4kTd5ibf4k1f5iC+BhV/5l3f4IjhWXRoDHjACKMD5nNf5nef5nvf5n9f5JFCBBEgC oDf6o0f6nO8CLZCAIkiCp4f6qJf6qaf6qrf6qMcAE7j6ref6rpf6F8gArxf7sSd7qSeBBij7tL/6 DIgBtXd7qoeCDTCCt6f7qE8A1CSWNeiFeHh3dGcHQEiDeuh7ctcHb0CCfqgHdFD8xWf8xnf8x4f8 yFf8ehCDUUh8ycf8zNf8yfeFObj8zQf90Bd9dKiHWCCl0Ud9yK+HOpCFz0/915/8c44H14f9168H Ptge/xNkAxwLdhdPhRLu/U1ph+QL/r/4g/wp/kNRhg9LflSnBg4O/kK4jOa/0ub/hz3AJd1nhXAw h+73/u8H//AX//En/+8fB1nIwvJX//Vnf+9Ph3igAjQDuTPwC4D5B1iQa5PZs83e2zc4YAUCiHxP wv0DZ/AgwoQKFzJsaPAfGGUFHR78x4ZVOHMaN3Ls6PEjyJAcx8ky808kypQqN6aLR6XcRIoyZzb8 dyZWTJo6d4L7B0tNTp5Chy7812xOUKJE/73BlVTp0H8CCUKt+jDi06IXM67s6nUjSZNfx65s+TKr VZ02caa16hNo27gyjSKVy5OpU7t3p6LVyxCiRJoWMf+SLSwy7EnDijuahfnwH+TIkiE/7inZcsXL kxOuzTn5M+XIliljHk26MueffR+D1jxxs2jTrFdXPPq69WXMsEPnlv2waUzcsUm7vn16NEKpA40L R757dvDjVwPPHMx1MXbE2Lc3nkju3j117tKVC6fuXjp3PcG1C9fzXj3H4do9Tlev3URx69ad43wz 5znphIfeOee4g946BZHTzjj/jMNPPVSdI45l9uGH0Dj9pQYXRe2kd1464oxTDnr3kPPPfA+tU086 BY2zDjk9hVNPPqGRuN5cthlEznjipaOOeei50yB77v2jTj3qKMhgT+3UM09o46STYEXAHVTOj+fd E87/OuBtWZA4/f1zzpOQjdNOjP+Qgw8/RD4UTowV8WVQOOD5WN6BP/Z0ZprwUZliT+XUc0+U94gT FGC0PbTVdtmVlFijhnXXEz2VLEIJJoOYss0mjSzSCTH/iOPKMP+4s8gVmpw4jCuH/oNOIVeEAlk7 pciyizUnVvRfRcosQggll1iCjDONNGKILe78Q08oSlLDhxDTQLYMN5Cx08cVpBBnDy5uPqSaQ5DF YggmlHjiiDHzMNKIJJcg888wvBD0zy5X/MHPSaOgA5k1VpTxDmTitEIIPIrSVdCrgixSySaQ5GJM JZ5WQk1BqXgzZiVXVILfO6TA9E8oV5ABJWTVDOLL/4U9WSnqLpBMkogkmwiDirGNSPsPM85A5soV bMRzZCj9QIZMFU8cExo4z9gT3Jz/yLOJJJg6Mks0mEiyCCMAtzOLPv/M48gVqPR0jConhnPKFZKc E9k2nohyXKKCMRqpYdrRXdik/2yDxxE9SAFEI6mM4MQQQQyyjjhnWANyCSlAUco/07ABUzub4OCD Fdh4ncYRQEjibWcVmWJFFjg4IUUqgyxBhRNEzNoNGuaoY4kKHZQhdCWK/LMOJpdb8cxrv6yB47cc /vVPJkEYAcIQUmTjDxRAMGEFGuxwYwZ+3wiRQg2Z/LPPHMb80w0eLqjACT7/cIPHEL3ESdHBPckj x/8RICRxRB+rRGHFEFb8kQ443MCKf+CCCykQgSr+oQ0wmOMfzgiCD3LQiQTNoxFE4MPifpOXf7Sj D1bAwhKGoAdmBCELVLCCHCiGCELojQo+QEEiwjGPNXwDXmK4wQnUsA+E2SMOR5PTcnoCDC8IAQdZ uEIiQjECIhTOE+coRxikQa8e+MAImhPFGRrkiiSkoAStaFA7BjEENhQsOViRG2HuRha7qfEreeuH IgjBgjQk4ha5iEIlIvGGF3gDHGIoxTiswIdAdIEP45gGH5T0DR6sQRNdeMI44EECIAjBE6cJ3UOU QQkz0OBXwQDDExTBiCBYYRzR+MI9tEECQ2wCBbn/+IcnWNgMHsTBkV6Y1z/akAS4gasm/4gFJeTA gklcwh/RAMEnIuEJHriCGWXojxuMEIgylGAe6JhCNf7xhx8c4hMqIMU49tAGTHhhhzmqyz/Y8YhJ 1OALlHAFKUqAiUj0gQXM+EccSAGOKVQhEEBwwjiuoQdzjGMKXNCEGWLwrmVcIRNjYMN68IKwc/ii E1tYwiEygQws0EERm6iBIP4RCU784xJa0MQaVtCPcvhBipjgwSQ8gQJNUEYXPBAG04L4D30IwhMq QMMkYqEJLHQiEmb4wTDEUQZlhOMKYtCEFuoAjlKk4R/p2IIQpkmCUhVjCZSgwig8c8bqzK2NXmGj /1nL4hLHgEMd+jBGFAQhDHQgwg7CkMc3ROCLf4xhGf4owSrMoQgt5CMZfoCJJKLwjXzkggXdEEYX VHGLGiaHVwephzB4sYJkDMMceeiEPOSRix7s4xhTWEcgsqCPfeCBDbBkISSWsNhW8EAbkHEHCqLA S+M1BB7E0MQIqgGNdSDjCN+QBzWqwIlp2CEc7kBCJcyBDRw0Yx5eMAY/RDAIdgiDCnLwhwhgYQwj ZBB+OmKPPoaRhEUQIx6mmIIx5FEMKAACn7xARxRIYY5dgGAf3viCOrbxAl3k4xpasMQ/FuGFfoCC C/VAmJXAQY5+EIMNVNCHP4AhhGfIAxpikMM/AP9B0iY4Ih/fAMEqwoGHZrTDCGewxzvGcAT8yCME GPBHTqkCDncQoxogCIQw6iGIPBBDHsgAQSrAYYdkGKME1shHIopwj2WAeBk8SIU5uJGD+lIiCMKA RB0uOda5lDWtKkGrmVEyqdEcYRaQ0UQhIsOGRvwjD8pIxhYgs484sAMZdjhHO7bQCciQYwuv+IZJ pIPJx3jDwZBBwy0gM48kXGMYehAHHf4AGVjEmRCJEIcRQoqiLSBiWgyQwm5pAxlabOFE6pNDgv7R ijE4Iw3kqAcWKPYPOmBjHl94xzJm8LN/rAIL2QiDsoSwC1WfNzJeCARkTAEUyBTCDRb5hTG6oKT/ ebChx3gQByCSMC9BCEEcg/BePGZAMZXl5TGXQMo/vIGH9P2DFUFA3if+oQQp/sMQqQgHH7rRjRVA AzLNwAGOW+GCFXQNiDqOTBZqAZlDLCIyn/2HHIJBCyBAxh9xMIc1xqBNL0TGDWXgK52xAQQqTUdR PSlzmg/zqJirZM2REcKs/oGIOEOGDZKo8zS0oYR9haMW5WCGHcjxjhUEIzKW+MQt9jCMB/uHLZnp BhfY8Win/IMfSdCGMPRwDkiEATL2oEVJO8GOHFwjMpwgA7WjUIVUz+UfpdjC2tRXhlijYgy0GAM5 +FEEifzjGfqoxxf2gYm5QyYeV7CGro8wq3Ma/+cJpf6HKfYQmT5Y+yLEgELX7G4O8oljDHeITDay QA9R2FaSP5RoZjyRB8jIm96qOAK+/5GFAf4jGdsoBx+OkYssWOYeR3jbJ+4A3xzfBhxSiPQ/BGGI yMyBEhj/hjKk0MB21EIctMjDOIAQiciwwgnlsAMq/kENKpSx5Wi8Ds1Dgub4e2TN6wECKCCDCGv/ Ix5FOEWdLUM9gAAkCI06JI0djMM3jECN9IQp8EEk4EAReEH7PYRlVcQ1YMEO/UMdpIK4zAA9GIMe lMMs5IArEEqLeMIhHFMD/oMtCME/1EMZWIIXEE9P9BL81EISfAwz4IGSiMMcqMEzpIE4tAMV8P9B NKBIO+yDHryDGdBBZIzDELQCZGQDEwADs9XFY1SB9/yDL7iWVCDBJFgEL6SDFrhBqKzDOGgDH6SD FbxST/BDFyhDPihLMczA0rBbdEiCGNDeFOxLAJHcIzgCXxFB04FDOcyDHVSDIYRZZNhBIvzDMiBD GvzQQ8zJQ4jDFvzCxCHYP/SDFpgCOMhBMqQbJiyNssRCHaQDFqBdTwwDFgiDH7jCP/iDF+BURYwZ /MAc/XXE/PUiS6xVcIAD/kGGLiQBKvTCIJBAMIBDHqzCP6DBC+yBKxAENtgBODiDETiG3QEBGzzA C2DAK3jGBT5EBm5gGtSBLPTCEwyBOHiDHsz/gzyIQBFIQjNAhicIgjIkAZUQGw78AzbAASsQgQ2+ hcHo4MdkA1HtAiCQACkwgxzgRySogB3owoPRAx4MQx1EorMNwj9AQxUQwaFQXmZwIWSEQhcgQi9g Qg5oDhvMChmwQByYQoJkgx3AwxEMUJNgQVhBBiUgQT9GmGXwIWRQQxdggiwEAggMmiIgmCyowBRk wg7lgx0AAx30gWSAQaKhwxdYYk9gYk9oIieKVBa0Qi/0QQ94QzjIAfCUwQ+8ASs0yCqggT0UAWW9 Cg4owxQMkD2UgVfGDVmlETB6xC8Opv0VRDH+wytsgA5wAAOwQXvkQaRlQxFggApIyzNgYyxI/8Ha 9MQyZMEaKMEylIEV6FhPlGNPnCNk8IEGpMACYED+FYMe1MM4oAINLIAV4MslCII1SMFI/gMt9EA7 VMIuKIMT0N1cICRkFIMOdIAOZIAR3IMy7N0/9KUGdABN7YMfGIMYHIJk+EGc4UIDZEFnmpcWWoZJ /kMqPEAAcEAClAFMsIEp/EM0AEEC7IDEBUMZ2AMQwALCiEMRLNvuJIEjVEm7DWUf7lQLKIAOeAAI dM1IdV0YMEAHYILXlME1wAEhRoYZoEHjdSXzVYRYQkYmbIAPdIAGwIF+yIHOcIMWYEAM3GMsgME7 dAEW9gQ+lEApNAEnskMYbENw6GK48OJgEv/UzBUpYwhjRRBj/smaDXgBCwjAu7RDHnBdKoBBCkyB OCAdOIjCFfymKHCBKvBCvamAOVmg1WGgBkKGHeAAHmyACLSIQGldPghCFShAfRGCIDzDFVDFL5UA O+gBXjEBcubgDkKGNvBAECjBBXiPNVBn771BDuCAPvADHkDDHDCCZEwBITKiaEqHQsTPFnahK9hA E6yABbwNOLDBXhEbG8DAEbhDN5TBPhwBNPbEOXDBfGIeD1CWHmYGUcLLCiABEgDAz4kUSf0DMNxB CcRAN7RDVd6BR0bGGpTdJ4KowwXHiIZYC0wBDWgAFrqDHKDdP8zCHOiAHGQjGNCDEnQDwgz/oDNQ QY/GAZDmInWQmWAiqUYUJjAeJpNCRiDY1SuMgPhQ6S20w9rggyfYwDDYJDhwQxFwYyp0QWTowwzc KJoiimr+gxhUwjvEwbcpqx7Ag7L8wzEwwZdugiBQ5oX8Ay8gQTOgADYoQhQYw4Yc5KH+AzIEQTNM QwnQojPsnYHszijYgCm4Ax7oQxiwUGRQgaj9gx9Mq3lGR3qCghdQAyn0gG2Ng3zqh9cwAgxowzHY AT5YAZn2hDtggcThAxKgAY7A3mME6zYcgTVkAxcAILLyiKjgAgswwjjYQTRYwulFxhgkaz9g6yUG USZuImQwQh7oAyJEgTyYCosiTjp5Ez08/wMa4EMR3COliIA2PIEH7kMerJv7BSb86Su/9qK/Jub+ /cM9OAELAWEsGEM2QAY6aAEraEMZkMM2iEAe/kMgTEFk1IMQeG7GcoZqggMauBkyjIAUAYMf7MMy bGAs4AA+JMIhCIMI/ExPaAIaqIIGjIESMAAmgA4Ohoty4owfmIM43IEfAGQZiEM/kGs5yMEd3AMe 0EMhTNuYWEEoNMg/EIK1Tm1JdqEplJ066AHPvaQ83JPXSEEuQAMflMMX1BelKIFt2cIP2JaB7mGC esMU2AM5XIITJEgkfII54AQHqUEeKFU1dEJVRcYUXN7h/iVYigrjRh+C5QMQhJQ6pAE2eP9D21Vn CdACN8zBOkiBTv7DNSQBRs6KP5RBqNiry1mHvo7EkWaxObRukyICVvYbCKjDOMyBNYQCnUGGE7TC NcxvPiQB4eWSG4wCFsKDjQYHajrxmjIvJ4YDFpye9LIDGejMP0jSPlQCJdxDEkCwRUCCNSBBGmhB AxgC+vJWTayvMymJKOwAMUhDGYxDM4CBAC9CHuhvP+wCyUFGP4jpIxyKLszeaogqeiKw5v2DIphA +rCBKsQCz/1DGVTCMPiBODjCG0TGM2gBP7jDEMAdcQglZASrvO1LMLiAFEWCIciDGCjJP2gCFbRD GXjDKgRB3s0DFxDyDYfo4o6lIEACZPz/ARacwzqkgTS8whhChqwwQx6AwxxwpAsCJfr9QzEAASCe Lr6m7krcgzsktEIr9D2s0RZ7RXostESThRfr3+Bygwe0XR5MAyhoQfrMwxbAAu8myBrQQYL4wxLw gh1Y6DXUQB4mb3IsLxqQ6T/AwQyQg7zNAx68wYk8AxbkwySMIRoUQoLIQ37tgyrgQh/8gDUQj0FW BybzQQPhww3kQjakazHUQA2RAxhYgjvoATEcQxIkA2QowhVwQxT8DCdMmwGParSZQU9Egwcsw7WJ Qg8sjTo4gS9AAx60AyxcweSewxuQnDKwgDToR5zALYLS3hcsTTnUgEcqgiHQww/oDDj0/0EYiIMd aAM9SAE0uqAIAMy14rDihuUOC8KGxsICGAM5pEE26IISNFA9dAE2KEMfngIVaN09+IFJuMHP3QJQ Bum97mK+esU8HDdyI3dhrK5IJLdzzwNFK+lDgMMV7KomwAFkmAMP6M4YWIMxwEAg7IMvKIE+NMNh /YMujEAt2MMyzsMefAE5PIISjCRMV4Q2LIE5zQEt/sMv+MBbnVYivEAyyAMdJN0lsFAgAJY9fAIJ 0AOP3AMpSIGyJEf61gQsKMHHrE8DgcMQbHTv3kMPnAE9TEMW8MI9eMExiAMRrMEwXEMUWEI6FMEv lENDZWF0UMEjQIYvhEGThMD0xQEvwP9DC1TCPqRCEXTDNqASPWiBJLyDM7wAAA5CC8BCKVgDofzq YyzCDEeDFywNxxIfIDjCOSjBd2VDEOiCiiEDOfiBH2zDNmxBHcxLP6A4OoelEfDeISQrPNDAKygZ MgADDPTCPryCEewDNoDYNeAAINgDKaBApNnCHMzDJLyBUwtpTRBpc5vDNjTDN3S6p39DMxzDcX8F c39EOrxDNnz6p1/Dk7iRdK+HFoxj9IGhLweBOuDBLYgDHsjAEKyAG4gDNjCBkgjDEDDPDSDYKoiA W1YCOabpQ2TDD9BDQfhBk7IDCugCNVhBOhzDCnBBEMzArDhCvg0DERj7hkJGLRhBoYb/CyuMMWQ8 wxDUyD88Qgi0whfgByHsABEsQRPYAzocgbuiwgwIgRL8QA05AhTgQQnUa1tbxhUM2j/kwhhYRhtA wT3kwbKhgQ0MAQmsgToEgxPwAzk0wgo4wQhAwTsQ4wdEgelIO5ZbRiGkcjEEgdCAzA7Igx5t8wlY QRcIgTCUwxBICymAQBZkAQu4Yi0GwcVkq4iOgJv9AyYwMzg0gReUgxcswzo0AQv0uiWMQy1Akju8 QQgMwQsQAb4QwxF4wRVEmhkN95AWd0rMQzrMAiZ0gt3ffSdgQircA3Sf1UOvhDp8wybg/d1XwiPY Qzq4+lksKRtAzj+4wtOSghzMwyVI/wQ2mMAOrIAUBYMjxBovsMAOQAFOqcMa7AAJ4OKuOHtPUMMY UF0j0DVkkEEk+EMhNBAm2MAJPEEDocIrPT7od0EVQwYznMG61wQzgAF+ALQlEIre6MEuNALHbMEJ 0ED61UMf4JQ5gAEM2EDFGQUJnADPkeRjuMHTxwImWEYpfAE+VAJOZAMJ7AAPvM02FAKU+AMRnEAL vNI4tEEMyIAKbAFA0PsH7t8bXAPBEfx3ytC/f8L6oHPYj0m3VID+1Rtywkanf+4sFfun7s6NG2TI DfwHr48+hATzPQn38l+4NdMcmnrk8N+uOukWZfu3isWOHtr+SYM005uSHTJg8exz4v9LvZcEwSi7 mpBrV4JsWIUzN5ZsWbNj57nTFOdNW7dv1uRSN+9s3bLjZJn5Z5evuXXTwrx124YTvXt966aLR6Xc 1X/V8DmEJy/hP37B2hHj929dqVei2u2FRo7gPVi9klX29mrZOK//zsTaCk5dNHEJiUUmOMyYOmji /u2bZQvYwH72HKa7hZpgZXPbtv6DpWa2V+fVXP9LBy1cQnHa5BHLjmyXKqvtehOk5osUcs63bMmr bv1fszmOqbFzWI8Y13TfytHHKnGWeaUWdf655zeHgtmFlXwGisaZVWqZpjGCDHKsH2EGUseYdhIa Jxh84HnHoWtkmWWfmqBJxyFiQAH/xSWuzumNpphm8sqbfAjax5/K6tGmnWHm+aecWlg7x7JhxvmH HGZ6OVAlYmxpJrqs5qMPLLEQO+ueZ0whRcwxSTGFm8O6NAsvvdI0y51jQCFzzFFYYYeuNsdSjDHH HKqMJpUAnQlQhBxKCVBDX4ttNp785IqnPiEF1E9EHe2zK+mos05ThQi19FFAmxyUU0Yf3ZQ++6Lr tNJQFRKUU4VYHdXTDF/rVNVIY420VD8dw5FPVf1k1SFXPXWyWEYvxdLU17bEs6x0oI1W2judNWfN vao1Zx5puU0nW3P0vPBSYBUq99Nyef1zUUU1/bPS5kZtNN5x6Zsuy1ovHVddQis9//beS1HFF11z B/W0X1stxfAggeGtDN1d933tNV8FTjhSWQfe9dXXlF3W0WazdaeckUkm2Z1vr/02nZJZLodaPMON ztRU50N240vZpW9mhmtdtN5MPQ66YV43TXjgoOu7T2iH9S16X5poXXrRmv+FSSaZi+65XaOPrqxj pEH+Vmy7Uh7bbLNiXlrttSvLme23kbYX7rnpfi3guusuaGG886aY77y/9vifsM8+u+zCz077b7ph k21xvDGt+vHFk5Z88kujvlztf/zWfPPAlx08LMQLP5x0sRX3XGq3VZda7tY9rxx2wTOfvejObS8a 9JkJPz1b032vNvXc22Wd+HZfP/+eb9mVx3zv5h3FHXqstJK69+DxBB77NoefvnHLZ498+rzv9r52 6Dm/enxHdy/6+u271B5+xLpH3/jxxV8fbubNf9576dHXvna9b358kV8B7VK/5n1Pf45KXgM3Vz70 nW+BAFygALU0OgSm6YAbRNtixLU+BkIwfxCMoNLwR0HlpS9H+vsHBpn1C3LMg4Y1tOENcZhDHe7Q huCwRRv+wUMhDpGINbwHPKiwjk8tkYlNdOITH7WHUkCRilW04j9q8YYrbpGLXfxHNurgRTE2kQy3 GOMZP2UOLxgKjW1cQ/WENrhntJGODvnFHepIx3DoIY9opAMy+ohGZnAikIVs4jD/gGjIK1pCK4rc Ih8cyUU1zFFqaOjENbiRSU1ukpOd9OQnQbnJYkiiCd0I5SlRmUpNSsMZXMhGN2AZS1nOkpa1tOUt ZfkEQOCSl7305SwZMYVfDpOYxZylLKxgTGXi8guCWOYza8mNLlwDmtWUJRG4Ybl/zCEFPzDBN8EZ TnGOk5zlNGc4cxCACOTgnO105zvDyYMC1CAH9bTnPfGZT33uk5/3jMAO+hlQgQ4Uny54AEERmlCF 4tMGAljoQ/v5ABdAlKL6fIEBflBRjfpTJFIzRROs4ASRjpSkJTXpSVGaUpJaoQpfCKlKYRpTmY6U CHhwghVwmlOd7pSnPfXpT3X6/wUqAJWoRTXqTpvg0qMulalN1SkT9OBUqQL1CyCd6lV56gQ8YJWr O8WDftQmDnXcg6xlNetZ0ZpWta4VreooB1vhGle5nlVkc7XrXc9aDnfgla93rWtfARtYtP5VsIXV a2ERS9a3Jhax5XDN5iIZWclOlrKVtexlMZtZzdrMhJ317GdBG1rRjpa0pTXtaVGbWtWulrWtde1r YRtb0RrtYrICn2xxm1vd7pZn5+rX49oBIq60wx0Oeew4xuoOdazjsbx17nOhi9twqKMr5LhHk5rr JH6EMG/PmKNKtDGLj4RmJLxAxSs+08Lorpe97Q3tP/RBipQQBB+5SEc47kGoY/8Mghdc298mDgEp XhRiHsvI7z/wsQYgMKELb1CveyEcYQnn7h/YqEOO/uEPMdAjGfLxziCYIIYZ5a0gDeHJMhBxDEgg yDJ7oIIfXADJ206YxjW2cdFYYYVHxWMR9JBENFTijSUEMxAzps8/2mDigdSjH8DYA3DA0Q5kOMMa SpiEkW+cZS1H+B+qAAKj2gENd7QBOgRhhBDkcQg/NInEcLiDK6ICDnP04xVbwMag0OEFIG+Zz33e 8j9+cYRl9MJF5+iHNraQCer+ow6F+Mc1pOAiEtNBCEaQAjT+YQ1AsMEDg4iUK6wAZT+PmtQQHkoJ 7PACXIADHpNQRAeGoJ9/lCH/Ev94BxX8geVK/SECcLBCH/6BCjA0oga56BQngF1qZS/7uRWmQBry wIR8EIMIr8gBGYr7jyn04h/smII3dO0nN3jAGKhQQjgAIYdY8IEYhGoHE34RbmbPm94ktIYESLGN GXRjGFxABh9WwRMm2ALBabiGvB3yhjVDYwj4QIQYtNEGSRNEH1EAd70xnvHTSmcG6BhHGXBBDCxA 4wxIGYgTfBHENhycxGqQRIL4MAxU5KEbahDXP0qBhCJpnOc99+w/WOEEh3BCF/qAAjHI0I0+WSHl 5mADyxn3DzVc2R1g8EYr8nCNM+S3MowAg399Hnax2w7oVXAIJjQhDygMYw8H/x8IE2RhmTp0NOpq 2MRI9gANXWR9D8VVyB4sAfaxD57wlGOF2f/RiV0Yne3FcYgedLESO1AD4VJvhHbmYI9TZN3mgJqC RwofetH/DehEcAgkQkGMtasByA4Zg0eMkQXkkPgNZfgHNZBwD0TkQRtr8LuTqGAKeY+e+ITneH6b IArVDyMOweDJJ/6Q6S0giPYx8IcikDAOQKQhGkwAJEHmMYU7F5/85Q+dKBogimCMYBvQ0II+xqCL ULGiDPYQRBuG9jaHnKEDQEDBlRPhCdAhBKiAJ+yBCKDO/BRQAbGoAZAAC6bgHrwhBOJhDXjARP4h Hpig0lJh+BwiEObgBXqg3fFmYRHAIQ2MIFTYYRBybQFdsPz+ARnm4ApYwBXgaw3uIRdQADrOTgWe AKwgZx+IIRa4oUnQgUO6oRRy5BzkQYle8AlHL4iEARliIb/WYRvIAR5+wSoIIh5YIRge51xKhTRu BgrNcPDE8FG6I10oB1lqi2nOMA7FzrdEhWgmx2K6Rg71cA5pAg7lZQ8BMRAFcRAJsRAN8RARMREV cREZsREd8REhMRIlcRIpsRIt8RIxMRM1cRM5sRM98RNBMRRFcRRJsRRN8RRRMRVVcRVZsRVd8RVh MRZlcRZpsRZt8RZxMRd1cRd5sRd98ReBMRgrMSAAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0035.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
How to Initialise GPIO
l#d= efine STATUS 3
l#d= efine RP0    5
lbcf STATUS,RPO     ;Bank 0
lcl= rf GPIO         ;Init GPIO
lmo= vlw 07h         ;Set GP<2:0> to
lmo= vwf CMCON       ;digital IO
lbsf STATUS,RP0    ;Bank 1
lcl= rf ANSEL      =   ;Disable analog input
lmo= vlw 0Ch         ;Set GP<3:2> as inputs
lmo= vwf TRISIO      ;and set GP<5:4,1:0>
l        = ;          ;as outputs
l <= /span>
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0031.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
To test if the switch is on or off do the following
btfss 5,3     ; test SWITCH input&#= 13;
goto SwitchDebounce  ; SWITCH was low - reset timer
If switch was low then bit 3 will be 0 and <= /span>
btfss will not skip the next instruction
thus you will do the goto
If switch was high, then the bit 3 will be 1 =
and then the goto will be skipped.
GP= IO register =3D 5
sele= ct bit 3
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0031_image220.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhgABSAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAAB/ AFAAgAAAAAAAAAL/hI+py+0fwpu02oszi7r7D3ZSSJbmN57qyiZpC8fgK9c2Rd/6fnD8v/MBhzIh 8cjKIZchJfOpcUKnFSn12rBitwgj9+vSgrHiMbVshqLTzDUb6X4T43Kgt36m44N7rr5v8wdYNHgl mHYXk1gIEHEY9bi1WBSZVynC2HPpsQk3qdM59wk66KgGaKoWCrra1Er52iQ3CseW6ocYe6JbcgvG 6wpsQjvka0b8Y3wsjIFMNqX8xowzDVOd5fx1vZD9exTd1z28XSMenBnGA85YbX5swLzO7t5Mru4Y K4/eiL9Kv6cPkr1aKv5J6ycGIbcRATMlmpTDSL99E7QokcKw4b4ySDSsSFBI0QFHFxC6DExm4YXF kClb9ijJEodLeDBj1qzY5abNDTMbidyJswpJnkB/Ck1nsmhQnSY1Fk2otKDIk+EuRrUG8mqJAgA7 ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0039.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
list      p=3D12F67= 5     ; list directiv= e to
     ;define processor
#include <p12f675.inc> ; processor specific
        = ;            &n= bsp;  ; variable definitions
= ;
__CONFIG  _CP_OFF & _WDT_OFF & _BOD= EN_ON & _PWRTE_ON & _INTRC_OSC_NOCLKOUT & _MCLRE_OFF & _CPD_OFF
= ;
 '__CONFIG' directive is used to em= bed configuration word within .asm file. The labels following the directive are located in the = respective .inc file. See data sheet for addit= ional information on con= figuration word settings.
Header section of program.
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0040.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
#define Bank0 0x00
#define Bank1 0x80
#define SWITCH GPIO,3
#define D0_1Tris B'11001111' <= /div>
#define D0On B'00010000' =
#define D0Off B'00000000‘ <= /span>
#define Flags 0x20
#define LEDOn Flags,0 We define Flags as register 20 it will be used= to hold working value= s for the program
<= span style=3D'font-size:111%'>Define the constants to be used in this <= span style=3D'font-size:111%'>program
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0042.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Define entry point
l ORG     0x000  ; processor res= et vector
l goto  &nbs= p; Init        ; go to beginning of prog
l;Interrupt Vector    
l ORG 0x004
l return        ; interrupt trap
l        = ;            &n= bsp;        ; - returns without re-enabling
l
The ORG directive= says where the instruction start in ROM.
Address 0 is wher= e the hardware starts running
Address 4 is wher= e the hardware goes on an interrupt
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0042_image221.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlh0QFjAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAADP AWIAgQAAAAAAAJfNzAECAwL/jI+py+0Po5y02ouz3rz7D4agQJbmiabqyrbuC8fyTNf2jef6zvf+ 3wsAh8Si8YhMKpfMJlLojEqn1Kr1iq1Cs9yu9wsOi3XbsfmMTqvXuzL7DY/L51q6/Y7P62Puvf8P GBjWJ1hoeIg4RJjI2Oj4eLIIOUlZmSdpmam5OYbJ+Qka6uQpWmp62oaquspqQ9oKG3v6Kltrm0l7 q7ubmMv7C7znG0xcDDdsnKwshrzs/GzVDD1NrSRdjZ3tc63d7U3D/S0+rhJOfk5ujr7erc7+Tu0O P78sT39PbI+/v6vP/y/LH8CBqwQSPFjKIMKFnBQyfFjJIcSJjiRSvHjIIsaN14A0cvx4CaRIVB5H mnxT8qRKNClXuhz0MiakljJrRrOJ0xDNnDyX7OwJ1MjPoER/DC2KlEzSpWuOMn3KB6pUmFOrcnFq NSsJrFqtcu069StYqGLHMi1rNinatEXXsg3q9m3PuHJz0q1r8y5emXr3vuzrdyXgwCcHEx5p+DDI xIo5Mm6M8TFkipInQ6xsmSHmzAg3cybo+TPA0KL5kS6N7zRqeqpXw2vtmh3s2Ohm0053+7Dt3N92 827326/v4NiGE493vK7x5M9EOH8OPbr06dSrW7+OvXoBADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0043.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Initialise i/o
= lInit
= l call 0x3FF     ; retrieve factory calibrat= ion value
l      ; comment instruction if usi= ng = simulator
= l BANKSEL Bank1 =
l movwf OSCCAL &n= bsp; ; update regis= ter with factory cal value
l movlw D0_1Tris     ; set direction so LEDs D0,= D1 are outputs
l movwf TRISIO ; all others are inputs (high-z)
l clrf ANSEL ; configure A/D I/O as digital
l banksel Bank0 ; change back to PORT memory bank
= l movlw CM2 | CM1 | CM0 ; comparator digital I/O
= l movwf CMCON
= l clrf Flags ; set initial L= ED state as off
= l call ToggleLED ; light initial LED
= l     goto Main
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0041.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Main program
l
Main
 = btfsc SWITCH        = ;        ; wait in loop until SWITCH closure sensed
 = goto Main         = ;     ; SWITCH closure grounds input pin
 = call ToggleLED         = ;     ; SWITCH closure sensed - toggle LED
 = call SwitchDebounce ; wait for switch to release and settle
 = goto Main
repeat
 = while not switch down do nothing;
 = toggle LED
 = wait for debounce
forever;
Assembler
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0041_image222.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhpwF0AHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAAAAACl AXMAgQAAAAAAAJfNzAECAwL/jI+py+0Po5y02ouz3rz7D1LCSJbmiabqyrbuC8fyTNf2jef6zveu 4QsKh8Si8YhMKpcmIPMJjUqn1Kr1F7hqt9yu91t1gsfksvlcFqPX7Lb7jVPD5/S6PZ296/f8flLu Fyg4SKgCWIiYqEh3uOj4CNnVGElZaYk0eam5yVmT2QkaKkryOWp6SlmKuspKqNoKG2v3Kltri0Z7 q7vLlcv7CwzlG0xcTDRsnKwcl7fs/ByEDD1NXSJdjf18nc1tvN0N/vsdTm47Xo7eep7ObrreDt/5 Hk9vOV+P/3ifz4+43w8w0L+ABPUMLIhwzsGEDNksbAgRT8SJ+ppRvOjKIsaN/30ecvwoTCPIkXA8 kjxZxCTKlT5UsnyZwyXMmTRk0rz5wibOnYZE8vy5RCfQoUKH/ixqdCfSpDeXMp3p9OnLqFJXUq16 8irWkVq3fuzqdSPYsBfHkp1o9izEtGoZsm2L8C1cgnLnAqxrlx/evPj28qXn9y+8wILZES6M7jBi cooXg2vsmBvkyNgmU6Zm+TK0zJqdce6s7DNobz5HJxVtOhjq1OJKsz7q+rXS2LKb0q4N9Tbuqbp3 W+3tOyvw4FyHE/9q/LjY5MrLMm+O9jn0tdKnu61uPS727HS3c7/r/bve8OL7ki8P+Dz6werXG27v PjH8+Izn039s/77kEPz7+w3/D2CAAg5IYIEGLlAAADs= ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0044.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Toggle LED subroutine
= lToggleLED
= l btfss LEDOn ; test flag of present LED condition
= l goto TurnLedOn ; the LED is presently off - go turn it on =
= l
= lTurnLedOff
= l bcf LEDOn ; clear flag to indicate LED is off
= l movlw D0Off ; data for all LED outputs low
= l movwf GPIO ; send data to GPIO port
= l return ; return to calling routine=
= l
= lTurnLedOn
= l bsf LEDOn ; set flag to indicate LED is on
= l movlw D0On ; data to forward bias LED0
= l        = ;            &n= bsp;            = ;          ; and reverse bias LED1
= l movwf GPIO ; send data to GPIO port
= l return ; return to calling routine=
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0045.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Test state
l
l btfss<= span style=3D'mso-tab-count:1;width:7.22%'> LEDOn
l goto TurnLedOn
l   goto<= span style=3D'mso-spacerun:yes'>      TurnLedOf= f
lThe first instruction= skips the following instruction if the LEDOn flag is set.
lThus if it is = not on, the goto TurnLedOn instruction is executed, if it is on, the mpu goes= to TurnLedOff
l<= span style=3D'mso-special-format:lastCR;display:none'>
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/slide0046.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program
Debounce routine
lSwitchDebounce
l    call inittim   
lSD2
l btfss 5,3      ; test SWITCH input
l goto SwitchDebounce  ; SWITCH was low - reset timer= 3;
l btfss PIR1,0        = ;        ; wrap round?
l goto SD2      ; not counted t= o zero yet, continue
l return <= /span>     ; full countdown
l        = ;            &n= bsp;            = ;       ; and no bounces – exit
= l END     ; directive 'end of program‘
l        = ;            &n= bsp;           ; this must terminate code
l<= span style=3D'font-size:87%;display:none'>
l<= span style=3D'font-size:87%;display:none'>
l
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/master04_stylesheet.css Content-Transfer-Encoding: base64 Content-Type: text/css Ym9keQ0KCXt3aWR0aDo1MzRweDsNCgloZWlnaHQ6NDAwcHg7fQ0KLlRCDQoJe21zby1zcGVjaWFs LWZvcm1hdDpub2J1bGxldFwyMDIyO30NCi5UDQoJe3RleHQtYWxpZ246bGVmdDsNCglmb250LWZh bWlseToiQXJpYWwgQmxhY2siOw0KCWNvbG9yOiMzMzY2NjY7DQoJbXNvLWNvbG9yLWluZGV4OjM7 DQoJZm9udC1zaXplOjE1NyU7DQoJbXNvLWNoYXItd3JhcDoxOw0KCW1zby1raW5zb2t1LW92ZXJm bG93OjE7fQ0KLkJCDQoJe21zby1zcGVjaWFsLWZvcm1hdDpidWxsZXRsOw0KCWNvbG9yOiNDQ0ND OTk7DQoJbXNvLWNvbG9yLWluZGV4OjI7DQoJZm9udC1mYW1pbHk6V2luZ2RpbmdzOw0KCWZvbnQt c2l6ZTo3MCU7fQ0KLkINCgl7dGV4dC1hbGlnbjpsZWZ0Ow0KCWZvbnQtZmFtaWx5OkFyaWFsOw0K CWNvbG9yOmJsYWNrOw0KCW1zby1jb2xvci1pbmRleDoxOw0KCWZvbnQtc2l6ZToxNDclOw0KCW1z by1jaGFyLXdyYXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5CMUINCgl7bXNvLXNw ZWNpYWwtZm9ybWF0OmJ1bGxldFwyMDIyOw0KCWNvbG9yOiM5N0NEQ0M7DQoJbXNvLWNvbG9yLWlu ZGV4OjQ7DQoJZm9udC1zaXplOjE1MCU7fQ0KLkIxDQoJe3RleHQtYWxpZ246bGVmdDsNCglmb250 LWZhbWlseTpBcmlhbDsNCgljb2xvcjpibGFjazsNCgltc28tY29sb3ItaW5kZXg6MTsNCglmb250 LXNpemU6MTIzJTsNCgltc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9 DQouQjJCDQoJe21zby1zcGVjaWFsLWZvcm1hdDpidWxsZXRcMjAyMjsNCgljb2xvcjpibGFjazsN Cgltc28tY29sb3ItaW5kZXg6MTsNCglmb250LXNpemU6MTUwJTt9DQouQjINCgl7dGV4dC1hbGln bjpsZWZ0Ow0KCWZvbnQtZmFtaWx5OkFyaWFsOw0KCWNvbG9yOmJsYWNrOw0KCW1zby1jb2xvci1p bmRleDoxOw0KCWZvbnQtc2l6ZToxMDQlOw0KCW1zby1jaGFyLXdyYXA6MTsNCgltc28ta2luc29r dS1vdmVyZmxvdzoxO30NCi5CM0INCgl7bXNvLXNwZWNpYWwtZm9ybWF0OmJ1bGxldFwyMDIyOw0K CWNvbG9yOiMzMzY2NjY7DQoJbXNvLWNvbG9yLWluZGV4OjM7DQoJZm9udC1zaXplOjE1MCU7fQ0K LkIzDQoJe3RleHQtYWxpZ246bGVmdDsNCglmb250LWZhbWlseTpBcmlhbDsNCgljb2xvcjpibGFj azsNCgltc28tY29sb3ItaW5kZXg6MTsNCglmb250LXNpemU6OTUlOw0KCW1zby1jaGFyLXdyYXA6 MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5CNEINCgl7bXNvLXNwZWNpYWwtZm9ybWF0 OmJ1bGxldFwyMDIyOw0KCWNvbG9yOiMzMzY2NjY7DQoJbXNvLWNvbG9yLWluZGV4Ojc7DQoJZm9u dC1zaXplOjE1MCU7fQ0KLkI0DQoJe3RleHQtYWxpZ246bGVmdDsNCglmb250LWZhbWlseTpBcmlh bDsNCgljb2xvcjpibGFjazsNCgltc28tY29sb3ItaW5kZXg6MTsNCglmb250LXNpemU6OTUlOw0K CW1zby1jaGFyLXdyYXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5ODQoJe21zby1j aGFyLXdyYXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5OMQ0KCXttc28tY2hhci13 cmFwOjE7DQoJbXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9DQouTjINCgl7bXNvLWNoYXItd3JhcDox Ow0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLk4zDQoJe21zby1jaGFyLXdyYXA6MTsNCglt c28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5ONA0KCXttc28tY2hhci13cmFwOjE7DQoJbXNvLWtp bnNva3Utb3ZlcmZsb3c6MTt9DQouT0INCgl7bXNvLXNwZWNpYWwtZm9ybWF0Om5vYnVsbGV0XDIw MjI7fQ0KLk8NCgl7dGV4dC1hbGlnbjpsZWZ0Ow0KCWZvbnQtZmFtaWx5OkFyaWFsOw0KCWNvbG9y OmJsYWNrOw0KCW1zby1jb2xvci1pbmRleDoxOw0KCWZvbnQtc2l6ZTo4NSU7DQoJbXNvLWNoYXIt d3JhcDoxOw0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLk8xDQoJe21zby1jaGFyLXdyYXA6 MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5PMg0KCXttc28tY2hhci13cmFwOjE7DQoJ bXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9DQouTzMNCgl7bXNvLWNoYXItd3JhcDoxOw0KCW1zby1r aW5zb2t1LW92ZXJmbG93OjE7fQ0KLk80DQoJe21zby1jaGFyLXdyYXA6MTsNCgltc28ta2luc29r dS1vdmVyZmxvdzoxO30NCi5DQkINCgl7bXNvLXNwZWNpYWwtZm9ybWF0Om5vYnVsbGV0bDsNCglj b2xvcjojQ0NDQzk5Ow0KCW1zby1jb2xvci1pbmRleDoyOw0KCWZvbnQtZmFtaWx5OldpbmdkaW5n czsNCglmb250LXNpemU6NzAlO30NCi5DQg0KCXt0ZXh0LWFsaWduOmNlbnRlcjsNCglmb250LWZh bWlseTpBcmlhbDsNCgljb2xvcjpibGFjazsNCgltc28tY29sb3ItaW5kZXg6MTsNCglmb250LXNp emU6MTU3JTsNCgltc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9DQou Q0IxQg0KCXttc28tc3BlY2lhbC1mb3JtYXQ6bm9idWxsZXRcMjAyMjsNCgljb2xvcjojOTdDREND Ow0KCW1zby1jb2xvci1pbmRleDo0Ow0KCWZvbnQtc2l6ZToxNTAlO30NCi5DQjENCgl7Zm9udC1z aXplOjEyMyU7DQoJbXNvLWNoYXItd3JhcDoxOw0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0K LkNCMkINCgl7bXNvLXNwZWNpYWwtZm9ybWF0Om5vYnVsbGV0XDIwMjI7DQoJY29sb3I6YmxhY2s7 DQoJbXNvLWNvbG9yLWluZGV4OjE7DQoJZm9udC1zaXplOjE1MCU7fQ0KLkNCMg0KCXtmb250LXNp emU6MTA0JTsNCgltc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9DQou Q0IzQg0KCXttc28tc3BlY2lhbC1mb3JtYXQ6bm9idWxsZXRcMjAyMjsNCgljb2xvcjojMzM2NjY2 Ow0KCW1zby1jb2xvci1pbmRleDozOw0KCWZvbnQtc2l6ZToxNTAlO30NCi5DQjMNCgl7bXNvLWNo YXItd3JhcDoxOw0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLkNCNEINCgl7bXNvLXNwZWNp YWwtZm9ybWF0Om5vYnVsbGV0XDIwMjI7DQoJY29sb3I6IzMzNjY2NjsNCgltc28tY29sb3ItaW5k ZXg6NzsNCglmb250LXNpemU6MTUwJTt9DQouQ0I0DQoJe21zby1jaGFyLXdyYXA6MTsNCgltc28t a2luc29rdS1vdmVyZmxvdzoxO30NCi5DVEINCgl7bXNvLXNwZWNpYWwtZm9ybWF0Om5vYnVsbGV0 XDIwMjI7fQ0KLkNUDQoJe3RleHQtYWxpZ246Y2VudGVyOw0KCWZvbnQtZmFtaWx5OiJBcmlhbCBC bGFjayI7DQoJZm9udC1zdHlsZTppdGFsaWM7DQoJY29sb3I6IzMzNjY2NjsNCgltc28tY29sb3It aW5kZXg6MzsNCglmb250LXNpemU6MTk1JTsNCgltc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNv a3Utb3ZlcmZsb3c6MTt9DQouSEJCDQoJe21zby1zcGVjaWFsLWZvcm1hdDpidWxsZXRsOw0KCWNv bG9yOiNDQ0NDOTk7DQoJbXNvLWNvbG9yLWluZGV4OjI7DQoJZm9udC1mYW1pbHk6V2luZ2Rpbmdz Ow0KCWZvbnQtc2l6ZTo3MCU7fQ0KLkhCDQoJe2ZvbnQtc2l6ZToxMjglOw0KCW1zby1jaGFyLXdy YXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5IQjFCDQoJe21zby1zcGVjaWFsLWZv cm1hdDpidWxsZXRcMjAyMjsNCgljb2xvcjojOTdDRENDOw0KCW1zby1jb2xvci1pbmRleDo0Ow0K CWZvbnQtc2l6ZToxNTAlO30NCi5IQjENCgl7Zm9udC1zaXplOjEwNCU7DQoJbXNvLWNoYXItd3Jh cDoxOw0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLkhCMkINCgl7bXNvLXNwZWNpYWwtZm9y bWF0OmJ1bGxldFwyMDIyOw0KCWNvbG9yOmJsYWNrOw0KCW1zby1jb2xvci1pbmRleDoxOw0KCWZv bnQtc2l6ZToxNTAlO30NCi5IQjINCgl7bXNvLWNoYXItd3JhcDoxOw0KCW1zby1raW5zb2t1LW92 ZXJmbG93OjE7fQ0KLkhCM0INCgl7bXNvLXNwZWNpYWwtZm9ybWF0OmJ1bGxldFwyMDIyOw0KCWNv bG9yOiMzMzY2NjY7DQoJbXNvLWNvbG9yLWluZGV4OjM7DQoJZm9udC1zaXplOjE1MCU7fQ0KLkhC Mw0KCXttc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9DQouSEI0Qg0K CXttc28tc3BlY2lhbC1mb3JtYXQ6YnVsbGV0XDIwMjI7DQoJY29sb3I6IzMzNjY2NjsNCgltc28t Y29sb3ItaW5kZXg6NzsNCglmb250LXNpemU6MTUwJTt9DQouSEI0DQoJe21zby1jaGFyLXdyYXA6 MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5RQkINCgl7bXNvLXNwZWNpYWwtZm9ybWF0 OmJ1bGxldGw7DQoJY29sb3I6I0NDQ0M5OTsNCgltc28tY29sb3ItaW5kZXg6MjsNCglmb250LWZh bWlseTpXaW5nZGluZ3M7DQoJZm9udC1zaXplOjcwJTt9DQouUUINCgl7bXNvLWNoYXItd3JhcDox Ow0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLlFCMUINCgl7bXNvLXNwZWNpYWwtZm9ybWF0 OmJ1bGxldFwyMDIyOw0KCWNvbG9yOiM5N0NEQ0M7DQoJbXNvLWNvbG9yLWluZGV4OjQ7DQoJZm9u dC1zaXplOjE1MCU7fQ0KLlFCMQ0KCXttc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNva3Utb3Zl cmZsb3c6MTt9DQouUUIyQg0KCXttc28tc3BlY2lhbC1mb3JtYXQ6YnVsbGV0XDIwMjI7DQoJY29s b3I6YmxhY2s7DQoJbXNvLWNvbG9yLWluZGV4OjE7DQoJZm9udC1zaXplOjE1MCU7fQ0KLlFCMg0K CXttc28tY2hhci13cmFwOjE7DQoJbXNvLWtpbnNva3Utb3ZlcmZsb3c6MTt9DQouUUIzQg0KCXtt c28tc3BlY2lhbC1mb3JtYXQ6YnVsbGV0XDIwMjI7DQoJY29sb3I6IzMzNjY2NjsNCgltc28tY29s b3ItaW5kZXg6MzsNCglmb250LXNpemU6MTUwJTt9DQouUUIzDQoJe21zby1jaGFyLXdyYXA6MTsN Cgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5RQjRCDQoJe21zby1zcGVjaWFsLWZvcm1hdDpi dWxsZXRcMjAyMjsNCgljb2xvcjojMzM2NjY2Ow0KCW1zby1jb2xvci1pbmRleDo3Ow0KCWZvbnQt c2l6ZToxNTAlO30NCi5RQjQNCgl7bXNvLWNoYXItd3JhcDoxOw0KCW1zby1raW5zb2t1LW92ZXJm bG93OjE7fQ0KLlRibEINCgl7bXNvLXNwZWNpYWwtZm9ybWF0Om5vYnVsbGV0bDsNCgljb2xvcjoj Q0NDQzk5Ow0KCW1zby1jb2xvci1pbmRleDoyOw0KCWZvbnQtZmFtaWx5OldpbmdkaW5nczsNCglm b250LXNpemU6NzAlO30NCi5UYmwNCgl7Zm9udC1zaXplOjEyOCU7DQoJbXNvLWNoYXItd3JhcDox Ow0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLlRibDFCDQoJe21zby1zcGVjaWFsLWZvcm1h dDpub2J1bGxldFwyMDIyOw0KCWNvbG9yOiM5N0NEQ0M7DQoJbXNvLWNvbG9yLWluZGV4OjQ7DQoJ Zm9udC1zaXplOjE1MCU7fQ0KLlRibDENCgl7Zm9udC1zaXplOjEwNCU7DQoJbXNvLWNoYXItd3Jh cDoxOw0KCW1zby1raW5zb2t1LW92ZXJmbG93OjE7fQ0KLlRibDJCDQoJe21zby1zcGVjaWFsLWZv cm1hdDpub2J1bGxldFwyMDIyOw0KCWNvbG9yOmJsYWNrOw0KCW1zby1jb2xvci1pbmRleDoxOw0K CWZvbnQtc2l6ZToxNTAlO30NCi5UYmwyDQoJe21zby1jaGFyLXdyYXA6MTsNCgltc28ta2luc29r dS1vdmVyZmxvdzoxO30NCi5UYmwzQg0KCXttc28tc3BlY2lhbC1mb3JtYXQ6bm9idWxsZXRcMjAy MjsNCgljb2xvcjojMzM2NjY2Ow0KCW1zby1jb2xvci1pbmRleDozOw0KCWZvbnQtc2l6ZToxNTAl O30NCi5UYmwzDQoJe21zby1jaGFyLXdyYXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30N Ci5UYmw0Qg0KCXttc28tc3BlY2lhbC1mb3JtYXQ6bm9idWxsZXRcMjAyMjsNCgljb2xvcjojMzM2 NjY2Ow0KCW1zby1jb2xvci1pbmRleDo3Ow0KCWZvbnQtc2l6ZToxNTAlO30NCi5UYmw0DQoJe21z by1jaGFyLXdyYXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxO30NCi5kZWZhdWx0Qg0KCXtt c28tc3BlY2lhbC1mb3JtYXQ6bm9idWxsZXRcMjAyMjt9DQouZGVmYXVsdA0KCXt0ZXh0LWFsaWdu OmxlZnQ7DQoJZm9udC1mYW1pbHk6QXJpYWw7DQoJZm9udC13ZWlnaHQ6bm9ybWFsOw0KCWZvbnQt c3R5bGU6bm9ybWFsOw0KCXRleHQtZGVjb3JhdGlvbjpub25lOw0KCXRleHQtc2hhZG93Om5vbmU7 DQoJdGV4dC1lZmZlY3Q6bm9uZTsNCgltc28tZmFyZWFzdC1oaW50Om5vOw0KCWxheW91dC1mbG93 Omhvcml6b250YWw7DQoJY29sb3I6YmxhY2s7DQoJbXNvLWNvbG9yLWluZGV4OjE7DQoJZm9udC1z aXplOjg1JTsNCgltc28tdGV4dC1yYWlzZTowJTsNCgltc28tbGluZS1zcGFjaW5nOiIxMDAgMCAw IjsNCgltc28tbWFyZ2luLWxlZnQtYWx0OjA7DQoJbXNvLXRleHQtaW5kZW50LWFsdDowOw0KCW1z by1jaGFyLXdyYXA6MTsNCgltc28ta2luc29rdS1vdmVyZmxvdzoxOw0KCWRpcmVjdGlvbjpsdHI7 DQoJbXNvLXdvcmQtd3JhcDoxOw0KCW1zby12ZXJ0aWNhbC1hbGlnbi1zcGVjaWFsOmJhc2VsaW5l Ow0KCW1zby1hbnNpLWxhbmd1YWdlOkVOLUdCO30NCmE6bGluaw0KCXtjb2xvcjojOTlDQzAwICFp bXBvcnRhbnQ7fQ0KYTphY3RpdmUNCgl7Y29sb3I6I0Q2RTBFMCAhaW1wb3J0YW50O30NCmE6dmlz aXRlZA0KCXtjb2xvcjojMzM2NjY2ICFpbXBvcnRhbnQ7fQ0K ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/script.js Content-Transfer-Encoding: quoted-printable Content-Type: application/javascript; charset="us-ascii" function LoadSld() { var sld=3DGetObj("SlideObj") if( !g_supportsPPTHTML ) { =09 sld.style.visibility=3D"visible" return } if( MakeNotesVis() ) return runAnimations =3D _InitAnimations(); =09 if( IsWin("PPTSld") ) parent.SldUpdated(GetSldId()) g_origSz=3DparseInt(SlideObj.style.fontSize) g_origH=3Dsld.style.posHeight g_origW=3Dsld.style.posWidth g_scaleHyperlinks=3D(document.all.tags("AREA").length>0) if( g_scaleHyperlinks ) InitHLinkArray() if( g_scaleInFrame||(IsWin("PPTSld") && parent.IsFullScrMode() ) ) document.body.scroll=3D"no" _RSW() if( IsWin("PPTSld") && parent.IsFullScrMode() ) FullScrInit(); =09 MakeSldVis(); ChkAutoAdv() if( runAnimations ) { if( document.all("NSPlay") ) document.all("NSPlay").autoStart =3D false; if( sld.filters && sld.filters.revealtrans ) setTimeout( "document.body.start()", sld.filters.revealtrans.duration * = 1000 ); else document.body.start(); } } function MakeSldVis()=20 { var fTrans=3Dg_showAnimation && SldHasTrans() if( fTrans )=09 { if( g_bgSound ) { idx=3Dg_bgSound.indexOf(","); pptSound.src=3Dg_bgSound.substr( 0, idx ); pptSound.loop=3D -(parseInt(g_bgSound.substr(idx+1))); } SlideObj.filters.revealtrans.Apply()=09 } SlideObj.style.visibility=3D"visible" if( fTrans ) SlideObj.filters.revealtrans.Play() } function MakeNotesVis()=20 { if( !IsNts() ) return false=20 SlideObj.style.display=3D"none" nObj =3D document.all.item("NotesObj") parent.SetHasNts(0) if( nObj ) {=20 nObj.style.display=3D"" parent.SetHasNts(1) } return 1 } function ChkAutoAdv() { if(SldHasTrans()) SlideObj.onfilterchange=3DAutoAdv else AutoAdv() } function AutoAdv() { if(!IsWin("PPTSld") || !gUseSldTimings )return var sld=3DGetCurSld() if( (sld.mAdvDelay>0) && !parent.IsFramesMode() ) setTimeout("parent.GoToNextSld()",sld.mAdvDelay) } function GetObj(id) { if(g_supportsPPTHTML) return document.all(id); else return document.getElementById(id); } function SldHasTrans() { return SlideObj.style.filter !=3D ""; } function GetSldId() { return sId=3Dlocation.href.substring(location.href.la= stIndexOf('/')+1) } function HideMenu() { if( frames["PPTSld"] && PPTSld.document.all.item("ctx= tmenu") && PPTSld.ctxtmenu.style.display!=3D"none" ) { PPTSld.ctxtmenu.styl= e.display=3D'none'; return true } return false } function IsWin( name ) { return window.name =3D=3D name } function IsNts() { return IsWin("PPTNts") } function IsSldOrNts() { return( IsWin("PPTSld")||IsWin("PPTNts") ) } function SupportsPPTAnimation() { return( navigator.platform =3D=3D "Win32"= && navigator.appVersion.indexOf("Windows")>0 ) } function SupportsPPTHTML() { var appVer=3Dnavigator.appVersion, msie=3DappVer.indexOf("MSIE "), ver=3D0 if( msie >=3D 0 ) ver=3DparseFloat( appVer.substring( msie+5, appVer.indexOf(";",msie) ) ) else ver=3DparseInt(appVer) return( ver >=3D 4 && msie >=3D 0 ) } function _RSW() { if( !g_supportsPPTHTML || IsNts() || ( !g_scaleInFrame && (!IsWin("PPTSld") || !parent.IsFullScrMode()) ) ) return var padding=3D0; if( IsWin("PPTSld") && parent.IsFramesMode() ) padding=3D6 cltWidth=3Ddocument.body.clientWidth-padding cltHeight=3Ddocument.body.clientHeight-padding factor=3D(1.0*cltWidth)/g_origW if( cltHeight < g_origH*factor ) factor=3D(1.0*cltHeight)/g_origH newSize =3D g_origSz * factor if( newSize < 1 ) newSize=3D1 s=3DSlideObj.style s.fontSize=3DnewSize+"px" s.posWidth=3Dg_origW*factor s.posHeight=3Dg_origH*factor s.posLeft=3D(cltWidth-s.posWidth+padding)/2 s.posTop=3D(cltHeight-s.posHeight+padding)/2 if( g_scaleHyperlinks ) ScaleHyperlinks( factor ) } function _InitAnimations() { animRuntimeInstalled =3D ''+document.body.localTime !=3D 'undefined'; isFullScreen =3D (window.name =3D=3D "PPTSld") && !parent.IsFramesMode(); g_animUseRuntime =3D g_showAnimation && animRuntimeInstalled && !(isFullSc= reen && parent.IsSldVisited()); if( g_animUseRuntime ) { collSeq =3D document.all.tags("seq"); if( collSeq !=3D null ) { for(ii=3D0;ii numSlds ) gSldJumpIdx =3D numSlds; if ( gSldJumpIdx >=3D 0 ) { if ( gSldJumpIdx =3D=3D 0 ) gSldJumpIdx =3D 1; var jumpTo =3D parseInt(gSldJumpIdx); gSldJump =3D 0; gSldJumpIdx =3D ""; win.GoToSld( parent.GetSldList().mList[jumpTo-1].mSldHref ) } } } function _KDH() { if( event.keyCode =3D=3D 8 ) { event.returnValue =3D 0; parent.GoToPrevSld(); } } function DocumentOnClick() { if( IsNts() || parent.HideMenu() ) return; if( ( g_allowAdvOnClick && !parent.IsFramesMode() ) || (event && (event.keyCode=3D=3D32) ) ) parent.GoToNextSld(); } var g_supportsPPTHTML =3D SupportsPPTHTML(), g_scaleInFrame =3D 1, gId=3D""= , g_bgSound=3D"", g_scaleHyperlinks =3D false, g_allowAdvOnClick =3D 1, g_showInBrowser = =3D 0, gLoopCont =3D 0, gUseSldTimings =3D 1; var g_showAnimation =3D g_supportsPPTHTML && SupportsPPTAnimation() && ( (w= indow.name=3D=3D"PPTSld" && !parent.IsFramesMode()) || g_showInBrowser );va= r g_animManager =3D null; var g_animUseRuntime =3D false; var g_animItemsToHide, g_animInteractiveItems, g_animSlideTime; var g_animMainSequence =3D null; var ENDSHOW_MESG=3D"End of slide show, click to exit.", SCREEN_MODE=3D"Fram= es", gIsEndShow=3D0, NUM_VIS_SLDS=3D46, SCRIPT_HREF=3D"script.js", FULLSCR_= HREF=3D"fullscreen.htm"; var gCurSld =3D gPrevSld =3D 1, g_offset =3D 0, gNtsOpen =3D gHasNts =3D gO= tlTxtExp =3D 0, gHasNarration =3D 0, gOtlOpen =3D true window.gPPTHTML=3DSupportsPPTHTML() var gMainDoc=3Dnew Array(new hrefList("slide0001.htm",1,-1,1),new hrefList(= "slide0003.htm",1,-1,1),new hrefList("slide0004.htm",1,-1,1),new hrefList("= slide0006.htm",1,-1,1),new hrefList("slide0017.htm",1,-1,1),new hrefList("s= lide0002.htm",1,-1,1),new hrefList("slide0005.htm",1,-1,1),new hrefList("sl= ide0007.htm",1,-1,1),new hrefList("slide0008.htm",1,-1,1),new hrefList("sli= de0009.htm",1,-1,1),new hrefList("slide0010.htm",1,-1,1),new hrefList("slid= e0013.htm",1,-1,1),new hrefList("slide0011.htm",1,-1,1),new hrefList("slide= 0012.htm",1,-1,1),new hrefList("slide0014.htm",1,-1,1),new hrefList("slide0= 015.htm",1,-1,1),new hrefList("slide0016.htm",1,-1,1),new hrefList("slide00= 32.htm",1,-1,1),new hrefList("slide0033.htm",1,-1,1),new hrefList("slide001= 8.htm",1,-1,1),new hrefList("slide0019.htm",1,-1,1),new hrefList("slide0020= .htm",1,-1,1),new hrefList("slide0026.htm",1,-1,1),new hrefList("slide0021.= htm",1,-1,1),new hrefList("slide0022.htm",1,-1,1),new hrefList("slide0023.h= tm",1,-1,1),new hrefList("slide0024.htm",1,-1,1),new hrefList("slide0027.ht= m",1,-1,1),new hrefList("slide0028.htm",1,-1,1),new hrefList("slide0029.htm= ",1,-1,1),new hrefList("slide0025.htm",1,-1,1),new hrefList("slide0030.htm"= ,1,-1,1),new hrefList("slide0034.htm",1,-1,1),new hrefList("slide0037.htm",= 1,-1,1),new hrefList("slide0038.htm",1,-1,1),new hrefList("slide0036.htm",1= ,-1,1),new hrefList("slide0035.htm",1,-1,1),new hrefList("slide0031.htm",1,= -1,1),new hrefList("slide0039.htm",1,-1,1),new hrefList("slide0040.htm",1,-= 1,1),new hrefList("slide0042.htm",1,-1,1),new hrefList("slide0043.htm",1,-1= ,1),new hrefList("slide0041.htm",1,-1,1),new hrefList("slide0044.htm",1,-1,= 1),new hrefList("slide0045.htm",1,-1,1),new hrefList("slide0046.htm",1,-1,1= )); /********************************************* Frameset functions These functions control slide navigation and state of the frameset. **********************************************/ function FullScrInit() { g_allowAdvOnClick =3D GetCurSld().mAdvOnClk document.body.style.backgroundColor=3D"black" document.oncontextmenu=3Dparent._CM; document.onkeydown =3D _KDH; document.ondragstart=3DCancel document.onselectstart=3DCancel self.focus() } function Redirect( frmId ) {=09 var str=3Ddocument.location.hash,idx=3Dstr.indexOf('#'), sId=3DGetSldId() if(idx>=3D0) str=3Dstr.substr(1); if( window.name !=3D frmId && ( sId !=3D str) ) { obj =3D GetObj("Main-File") window.location.href=3Dobj.href+"#"+sId return 1 } return 0 } var MHTMLPrefix =3D CalculateMHTMLPrefix();=20 function CalculateMHTMLPrefix() { if ( document.location.protocol =3D=3D 'mhtml:') {=20 href=3Dnew String(document.location.href)=20 Start=3Dhref.indexOf('!')+1=20 End=3Dhref.lastIndexOf('/')+1=20 if (End < Start)=20 return href.substring(0, Start)=20 else=20 return href.substring(0, End)=20 } return ''; } function GetTags(base,tag) { if(g_supportsPPTHTML) return base.all.tags(tag); else return base.getElementsByTagName(tag); } function UpdNtsPane(){ if(frames["PPTNts"]) PPTNts.location.replace( MHTMLP= refix+GetHrefObj( gCurSld ).mNtsHref ) } function UpdNavPane( sldIndex ){ if(gNavLoaded) PPTNav.UpdNav() } function UpdOtNavPane(){ if(gOtlNavLoaded) PPTOtlNav.UpdOtlNav() } function UpdOtlPane(){ if(gOtlLoaded) PPTOtl.UpdOtl() } function SetHasNts( fVal ) { if( gHasNts !=3D fVal ) { gHasNts=3DfVal UpdNavPane() } } function ToggleOtlText() { gOtlTxtExp=3D!gOtlTxtExp UpdOtlPane() } function ClearMedia() { // Clear any sounds playing before launching another browser window. Other= wise, // in fullscreen mode, you'll continue to hear the sound in the frames mod= e. if (PPTSld.pptSound) PPTSld.pptSound.loop =3D 0; } function FullScreen() {=20 if ( PPTSld.g_animUseRuntime ) PPTSld.document.body.pause(); ClearMedia(); var href =3D ( document.location.protocol =3D=3D 'mhtml:') ? FULLSCR_HREF = : FULLSCR_HREF+"#"+GetHrefObj(gCurSld).mSldHref; if(PPTNav.event.ctrlKey) { var w =3D (window.screen.availWidth * 1.0) / 2.0 var h =3D w * (PPTSld.g_origH * 1.0) / PPTSld.g_origW win =3D window.open( MHTMLPrefix+href,null,"toolbar=3D0,resizable=3D1,top= =3D0,left=3D0," + "width=3D"+ w + ",height=3D" + h ); if( win.document.body && PPTSld.g_animUseRuntime ) win.document.body.PPTSldFrameset=3Dwindow; } else { win =3D window.open( MHTMLPrefix+href,null,"fullscreen=3Dyes" ); if( win.document.body && PPTSld.g_animUseRuntime ) win.document.body.PPTSldFrameset=3Dwindow; } } function ToggleVNarration() { rObj=3DPPTSld.document.all("NSPlay") if( rObj && !PPTSld.g_animUseRuntime ) { if( (rObj.playState =3D=3D 1)||(rObj.playState =3D=3D 0) ) rObj.Play() else if( rObj.playState =3D=3D 2 ) rObj.Pause() else return; } else if( PPTSld.g_animUseRuntime ) { narObj =3D PPTSld.document.all("narrationID") if( narObj ) narObj.togglePause() } } function GetCurSldNum() { =20 obj=3DGetHrefObj(gCurSld) if( obj.mOrigVis =3D=3D 1 ) return obj.mSldIdx else =20 return gCurSld } function GetNumSlds() { =20 if( GetHrefObj(gCurSld).mOrigVis =3D=3D 1 ) return GetSldList().mNumVisSlds; else return GetSldList().mList.length } function GetSldNum( href ) { for(ii=3D0; ii 1 ) PopSldList(); else if( !IsFramesMode() ) { if( gLoopCont ) GoToFirst() else EndShow() } } function GoToPrevSld() { ii=3DgCurSld-1 if( ii > 0 ) { obj=3DGetHrefObj(ii) while ( obj && ( obj.mVis =3D=3D 0 ) && ( ii>0 ) ) obj=3DGetHrefObj(--ii) if( ii =3D=3D 0 ) ii=3D1 GoToSldNum(ii) } } function GoToFirst(){ GoToSld( GetHrefObj(1).mSldHref ) } function GoToLast() { ii=3DGetSldList().mList.length if( ii !=3D gCurSld ) GoToSld( GetHrefObj(ii).mSldHref ) } function GoToSldNum( num ) { if( PPTSld.event ) PPTSld.event.cancelBubble=3Dtrue obj =3D GetHrefObj( num ) obj.mVis=3D1 gPrevSld=3DgCurSld gCurSld =3D num; PPTSld.location.replace(MHTMLPrefix+obj.mSldHref) if( IsFramesMode() ) { UpdNavPane(); UpdOtlPane(); UpdNtsPane() } } function GoToSld( href ) { if( PPTSld.event ) PPTSld.event.cancelBubble=3Dtrue GetHrefObj( GetSldNum(href) ).mVis=3D1 PPTSld.location.replace(MHTMLPrefix+href) } function SldUpdated( id ) { if( id =3D=3D GetHrefObj(gCurSld).mSldHref ) return gPrevSld=3DgCurSld gCurSld=3DGetSldNum(id) if( IsFramesMode() ) { UpdNavPane(); UpdOtlPane(); UpdNtsPane() } } function PrevSldViewed(){ GoToSld( GetHrefObj(gPrevSld).mSldHref ) } function HasPrevSld() { return ( gIsEndShow || ( gCurSld !=3D 1 && GetHrefO= bj( gCurSld-1 ).mVis =3D=3D 1 )||( GetCurSldNum() > 1 ) ) } function HasNextSld() { return (GetCurSldNum() !=3D GetNumSlds()) } function CloseWindow() { if( HideMenu() ) return; =09 var event =3D PPTSld.event; if( !IsFramesMode() && event && (event.keyCode=3D=3D27 || event.keyCode=3D= =3D32 || event.type=3D=3D"click" ) ) window.close( self ); CatchNumKeys( self, event ); } function Unload() { gIsEndShow=3D0; } function SetupEndShow() { gIsEndShow=3D1; PPTSld.document.body.scroll=3D"no"; PPTSld.document.onkeypress=3DCloseWindow; PPTSld.document.onclick=3DCloseWindow; PPTSld.document.oncontextmenu=3D_CM; } function EndShow() { if( IsFramesMode() ) return if( PPTSld.event ) PPTSld.event.cancelBubble=3Dtrue doc=3DPPTSld.document var dir =3D doc.body.dir if( dir !=3D "rtl" ) dir =3D "ltr"; doc.open() doc.writeln('


' + ENDSHOW_MESG + '

') doc.close() } function SetSldVisited(){ GetSldList().mList[gCurSld-1].mVisited=3Dtrue } function IsSldVisited(){ return GetSldList().mList[gCurSld-1].mVisited } function hrefList( sldHref, visible, advDelay, advClk ) { this.mSldHref=3D this.mNtsHref =3D sldHref this.mOrigVis=3D this.mVis =3D visible this.mVisited=3D false this.mAdvDelay=3D advDelay this.mAdvOnClk=3D advClk } function SldList(arr,curSld,fEnd) { this.mCurSld =3D curSld; this.mList =3D new Array(); var idx =3D 1; for(ii=3D0;ii 0) { PushSldList(sldList,fEnd); gCurSld =3D 1; } else if( PPTSld.event ) PPTSld.event.cancelBubble=3Dtrue } function PushSldList(arr,fEnd) { var ii =3D gSldStack.length; gSldStack[ii] =3D new SldList(arr,gCurSld,fEnd); GoToSld( gSldStack[ii].mList[0].mSldHref ); } function PopSldList() { if (gSldStack[gSldStack.length-1].fEndShow) EndShow() else { gCurSld =3D gSldStack[gSldStack.length-1].mCurSld; gSldStack[gSldStack.length-1] =3D null; gSldStack.length--; var sldList =3D gSldStack[gSldStack.length-1]; GoToSld( sldList.mList[gCurSld - 1].mSldHref ); } } var custShowList=3Dnew Array(); /********************************************* Navigation button implementation There are 2 types of buttons: ImgBtn, TxtBtn implemented as function objects. They share a similiar interface so the event handlers can call SetActive, for example, on a button=20 object without needing to know exactly=20 what type of button it is. **********************************************/ //---------------------------------- function ImgBtn( oId,bId,w,action ) //---------------------------------- { var t=3Dthis t.Perform =3D _IBP t.SetActive =3D _IBSetA t.SetInactive=3D _IBSetI t.SetPressed =3D _IBSetP t.SetDisabled=3D _IBSetD t.Enabled =3D _IBSetE t.ChangeIcon =3D null t.UserAction =3D action t.ChgState =3D _IBUI t.mObjId =3D oId t.mBorderId=3D bId t.mWidth =3D w t.mIsOn =3D t.mCurState =3D 0 } function _IBSetA() { if( this.mIsOn ) { obj=3Dthis.ChgState( gHiliteClr,gShadowClr,2 ) obj.style.posTop=3D0 } } function _IBSetI() { if( this.mIsOn ) { obj=3Dthis.ChgState( gFaceClr,gFaceClr,1 ) obj.style.posTop=3D0=20 } } function _IBSetP() { if( this.mIsOn ) { obj=3Dthis.ChgState( gShadowClr,gHiliteClr,2 ) obj.style.posLeft+=3D1; obj.style.posTop+=3D1 } } function _IBSetD() { =20 obj=3Dthis.ChgState( gFaceClr,gFaceClr,0 ) obj.style.posTop=3D0=20 } function _IBSetE( state ) { var t=3Dthis GetObj( t.mBorderId ).style.visibility=3D"visible" if( state !=3D t.mIsOn ) { t.mIsOn=3Dstate if( state ) t.SetInactive() else t.SetDisabled() } } function _IBP() { var t=3Dthis if( t.mIsOn ) { if( t.UserAction !=3D null ) t.UserAction() if( t.ChangeIcon ) { obj=3DGetObj(t.mObjId) if( t.ChangeIcon() ) obj.style.posLeft=3Dobj.style.posLeft+(t.mCurState-4)*t.mWidth else obj.style.posLeft=3Dobj.style.posLeft+(t.mCurState-0)*t.mWidth } t.SetActive() } =20 } function _IBUI( clr1,clr2,nextState ) { var t=3Dthis SetBorder( GetObj( t.mBorderId ),clr1,clr2 ) obj=3DGetObj( t.mObjId ) obj.style.posLeft=3Dobj.style.posLeft+(t.mCurState-nextState)*t.mWidth-obj= .style.posTop t.mCurState=3DnextState return obj } //----------------------------------------- function TxtBtn( oId,oeId,action,chkState ) //----------------------------------------- { var t=3Dthis t.Perform =3D _TBP t.SetActive =3D _TBSetA t.SetInactive=3D _TBSetI t.SetPressed =3D _TBSetP t.SetDisabled=3D _TBSetD t.SetEnabled =3D _TBSetE t.GetState =3D chkState t.UserAction =3D action t.ChgState =3D _TBUI t.mObjId =3D oId t.m_elementsId=3D oeId t.mIsOn =3D 1 } function _TBSetA() { var t=3Dthis if( t.mIsOn && !t.GetState() ) t.ChgState( gHiliteClr,gShadowClr,0,0 ) } function _TBSetI() { var t=3Dthis if( t.mIsOn && !t.GetState() ) t.ChgState( gFaceClr,gFaceClr,0,0 ) } function _TBSetP() { if( this.mIsOn ) this.ChgState( gShadowClr,gHiliteClr,1,1 ) } function _TBSetD() { =20 this.ChgState( gFaceClr,gFaceClr,0,0 ) this.mIsOn =3D 0 } function _TBSetE() { var t=3Dthis if( !t.GetState() ) t.ChgState( gFaceClr,gFaceClr,0,0 ) else t.ChgState( gShadowClr,gHiliteClr,1,1 ) t.mIsOn =3D 1 } function _TBP() { var t=3Dthis if( t.mIsOn ) {=20 if( t.UserAction !=3D null ) t.UserAction() if( !t.GetState ) return if( t.GetState() ) t.SetPressed() else t.SetActive() } =20 } function _TBUI( clr1,clr2,lOffset,tOffset ) { SetBorder( GetObj( this.mObjId ),clr1,clr2 ) Offset( GetObj( this.m_elementsId ),lOffset,tOffset ) } function Offset( obj, top, left ){ obj.style.top=3Dtop; obj.style.left=3Dle= ft } function SetBorder( obj, upperLeft, lowerRight ) { s=3Dobj.style; s.borderStyle =3D "solid" s.borderWidth =3D 1=20 s.borderLeftColor =3D s.borderTopColor =3D upperLeft s.borderBottomColor=3D s.borderRightColor =3D lowerRight } function GetBtnObj(){ return gBtnArr[window.event.srcElement.id] } function BtnOnOver(){ b=3DGetBtnObj(); if( b !=3D null ) b.SetActive() } function BtnOnDown(){ b=3DGetBtnObj(); if( b !=3D null ) b.SetPressed() } function BtnOnOut(){ b=3DGetBtnObj(); if( b !=3D null ) b.SetInactive() } function BtnOnUp() { b=3DGetBtnObj() if( b !=3D null ) b.Perform() else Upd() } function GetNtsState(){ return parent.gNtsOpen } function GetOtlState(){ return parent.gOtlOpen } function GetOtlTxtState(){ return parent.gOtlTxtExp } function NtsBtnSetFlag( fVal ) { s=3Ddocument.all.item( this.m_flagId ).style s.display=3D"none" if( fVal ) s.display=3D"" else s.display=3D"none" } function _BSetA_Border(){ b =3D gBtnArr[this.mObjId]; if( b !=3D null ) b.S= etActive() } function _BSetI_Border(){ b =3D gBtnArr[this.mObjId]; if( b !=3D null ) b.S= etInactive() } function _BSetP_Border(){ b =3D gBtnArr[this.mObjId]; if( b !=3D null ) b.S= etPressed() } function _BSetA_BorderImg() {=20 b =3D gBtnArr[this.mBorderId]=20 if( b !=3D null && this.mIsOn && !b.GetState() ) { obj=3Dthis.ChgState( gHiliteClr,gShadowClr,2 ) obj.style.posTop=3D0 } } function _BSetI_BorderImg() {=20 b =3D gBtnArr[this.mBorderId] if( b !=3D null && this.mIsOn && !b.GetState() ) { obj=3Dthis.ChgState( gFaceClr,gFaceClr,1 ) obj.style.posTop=3D0 } } var gHiliteClr=3D"THREEDHIGHLIGHT",gShadowClr=3D"THREEDSHADOW",gFaceClr=3D"= THREEDFACE" var gBtnArr =3D new Array() gBtnArr["nb_otl"] =3D new TxtBtn( "nb_otl","nb_otlElem",parent.ToggleOtlPan= e,GetOtlState ) gBtnArr["nb_otlElem"] =3D new TxtBtn( "nb_otl","nb_otlElem",parent.ToggleOt= lPane,GetOtlState ) gBtnArr["nb_nts"] =3D new ImgBtn( "nb_nts","nb_ntsBorder",10,parent.ToggleN= tsPane ) gBtnArr["nb_nts"].SetActive =3D _BSetA_BorderImg; gBtnArr["nb_nts"].SetInactive =3D _BSetI_BorderImg; gBtnArr["nb_ntsBorder"] =3D new TxtBtn( "nb_ntsBorder","nb_ntsElem",parent.= ToggleNtsPane,GetNtsState ) gBtnArr["nb_ntsElem"] =3D new TxtBtn( "nb_ntsBorder","nb_ntsElem",parent.To= ggleNtsPane,GetNtsState ) gBtnArr["nb_prevBorder"] =3D gBtnArr["nb_prev"]=3D new ImgBtn( "nb_prev","n= b_prevBorder",30,parent.GoToPrevSld ) gBtnArr["nb_nextBorder"] =3D gBtnArr["nb_next"]=3D new ImgBtn( "nb_next","n= b_nextBorder",30,parent.GoToNextSld ) gBtnArr["nb_sldshw"]=3D new ImgBtn( "nb_sldshw","nb_sldshwBorder",18,parent= .FullScreen ) gBtnArr["nb_sldshwBorder"] =3D new TxtBtn( "nb_sldshw","nb_sldshwBorder",pa= rent.FullScreen,null ) gBtnArr["nb_sldshwBorder"].SetActive =3D _BSetA_Border; gBtnArr["nb_sldshwBorder"].SetInactive =3D _BSetI_Border; gBtnArr["nb_sldshwText"] =3D new TxtBtn( "nb_sldshw","nb_sldshwText",parent= .FullScreen,null ) gBtnArr["nb_sldshwText"].SetActive =3D _BSetA_Border; gBtnArr["nb_sldshwText"].SetInactive =3D _BSetI_Border; gBtnArr["nb_voice"] =3D gBtnArr["nb_voiceBorder"] =3D new ImgBtn( "nb_voice= ","nb_voiceBorder",18,parent.ToggleVNarration ) gBtnArr["nb_otlTxtBorder"] =3D gBtnArr["nb_otlTxt"]=3D new ImgBtn( "nb_otlT= xt","nb_otlTxtBorder",23,parent.ToggleOtlText ) gBtnArr["nb_ntsBorder"].m_flagId=3D "nb_nts" gBtnArr["nb_ntsBorder"].SetFlag =3D NtsBtnSetFlag gBtnArr["nb_otlTxt"].ChangeIcon=3D GetOtlTxtState /********************************************* Context menu implementation _CM() is the function that's hooked up to the oncontextmenu event. Once we're asked to show the menu, we first build it by creating DIVs on-the-fly. Then we position it=20 within the screen area so it doesn't get clipped. Creating the DIVs using createElement() means we don't have to write out any extra HTML into the slide HTML files. **********************************************/ var sNext=3D"Next",sPrev=3D"Previous",sEnd=3D"End Show",sFont=3D"Arial",sAr= row=3D"Arrow",sFreeform=3D"Freeform",sRect=3D"Rectangle",sOval=3D"Oval" function ShowMenu() { BuildMenu(); var doc=3DPPTSld.document.body,x=3DPPTSld.event.clientX+doc.scrollLeft,y= =3DPPTSld.event.clientY+doc.scrollTop m =3D PPTSld.document.all.item("ctxtmenu") m.style.pixelLeft=3Dx if( (x+m.scrollWidth > doc.clientWidth)&&(x-m.scrollWidth > 0) ) m.style.pixelLeft=3Dx-m.scrollWidth m.style.pixelTop=3Dy if( (y+m.scrollHeight > doc.clientHeight)&&(y-m.scrollHeight > 0) ) m.style.pixelTop=3Dy-m.scrollHeight m.style.display=3D"" } function _CM() { if( !parent.IsFullScrMode() ) return; if(!PPTSld.event.ctrlKey) { ShowMenu() return false } else HideMenu() } function BuildMenu() { if( PPTSld.document.all.item("ctxtmenu") ) return var mObj=3DCreateItem( PPTSld.document.body ) mObj.id=3D"ctxtmenu" mObj.style.visibility=3D"hidden" var s=3DmObj.style s.position=3D"absolute" s.cursor=3D"default" s.width=3D"120px" SetCMBorder(mObj,"menu","black") var iObj=3DCreateItem( mObj ) SetCMBorder( iObj, "threedhighlight","threedshadow" ) iObj.style.padding=3D2 CreateMenuItem( iObj,sNext,M_GoNextSld,M_True ) CreateMenuItem( iObj,sPrev,M_GoPrevSld,M_HasPrevSld ) =09 CreateSeparator( iObj ) CreateMenuItem( iObj,sEnd,M_End,M_True ) mObj.style.visibility=3D"visible" } function Cancel() { window.event.cancelBubble=3Dtrue; window.event.returnVa= lue=3Dfalse } function Highlight() { ChangeClr("activecaption","threedhighlight") } function Deselect() { ChangeClr("threedface","menutext") } function Perform() { e=3DPPTSld.event.srcElement if( e.type=3D=3D"menuitem" && e.IsActive() ) e.Action() else PPTSld.event.cancelBubble=3Dtrue } function ChangeClr( bg,clr ) { e=3DPPTSld.event.srcElement if( e.type=3D=3D"menuitem" && e.IsActive() ) { e.style.backgroundColor=3Dbg e.style.color=3Dclr } } function M_HasPrevSld() { return( parent.HasPrevSld() ) } function M_GoNextSld() { if( gIsEndShow ) M_End(); else GoToNextSld() } function M_GoPrevSld() { if( gIsEndShow ) { gIsEndShow=3D0; history.back();= PPTSld.event.cancelBubble=3Dtrue; } else GoToPrevSld() } function M_True() { return true } function M_End() { window.close( self ) } function CreateMenuItem( node,text,action,eval ) { var e=3DCreateItem( node ) e.type=3D"menuitem" e.Action=3Daction e.IsActive=3Deval e.innerHTML=3Dtext if( !e.IsActive() ) e.style.color=3D"threedshadow" e.onclick=3DPerform e.onmouseover=3DHighlight e.onmouseout=3DDeselect s=3De.style; s.fontFamily=3DsFont s.fontSize=3D"9pt" s.paddingLeft=3D2 } function CreateSeparator( node ) { var sObj=3DCreateItem( node ) SetCMBorder(sObj,"menu","menu") var s=3DsObj.style s.borderTopColor=3D"threedshadow" s.borderBottomColor=3D"threedhighlight" s.height=3D1 s.fontSize=3D"0px" } function CreateItem( node ) { var elem=3DPPTSld.document.createElement("DIV") node.insertBefore( elem ) return elem } function SetCMBorder( o,ltClr,rbClr ) { var s=3Do.style s.backgroundColor=3D"menu" s.borderStyle=3D"solid" s.borderWidth=3D1 s.borderColor=3DltClr+" "+rbClr+" "+rbClr+" "+ltClr } ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/fullscreen.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/buttons.gif Content-Transfer-Encoding: base64 Content-Type: image/gif R0lGODlhWAESAPf4AAAAAIAAAACAAICAAAAAgIAAgACAgICAgAQEBISEBASEBISEhAQEhMTExAQE /KTM9Pz8/ERERPz8BAT8/KSkpGRkhMTcxCRkxAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAMDAwP8AAAD/AP//AAAA//8A/wD//////yH5BAEAAPgALAAAAABYARIA QAj/APEJHEiwoMGDCBMqXMiwocOHECNKnEixosWLGCEiQKCRo8YDIEHig2DxAD4LKD1avDDhgUuV GUOGbHBR5QGYFBG4fHAB58EKECJEwCfUYAWPFGAygLCRKQIIUKM6WAohKUGgCikcFWh1INWoYKVS 7SrQ41GbIhGYLAuVQVOoC+IyXUuUAkG1HE3KFDhAwkgJc5/qDZmxsOHDiBMrXsy4sePDGyP7lChT 5MULmDPnlDw5YmW6FTNrfgi0aNGEZPEtbfo2bNTUpYeeRph69dPWrqvCNFuBIGG1A59CYPAVQtwF cw0OxWdTIOiBCQAjaAB17fOFII8voGmRc+eOkilm/z9OvTtnjN4hYk1YoT3H2rnBOphPAB9skgq3 2lcaX/5YnJVt9BloAar12UEIRGCZdQghMABI1Tn32IQUVthYexhmqGGGMBHAgIfEhSiiiATAtOGJ GnYI4ogsEldicAYOGNJGZaml3Y0L3NTZUBz1xlB0PUoUI0jlVWRSVN89xBKSGB1YU1TMoRfVBEle hR9HQs1GEHxQ3RZZbveZthxt/LEmXHyp5XWABSCpaRJwbM13gAACPHVccgJFgF+NEhqUAEkQSCfc ddhVhh5dVTaEAEsTUNlkZUWKN9BNGDE6QU8OrSdbllzpxyVTTrU21Uj3scfhp7nNpyoDaTIEJ3P9 If8J2kbLNYegg9JRBwGhFvbq66+ZUiDssMQWK2yHxiZLrInKNotss8l+B5yBrr5p2UIJvortANoC 6+234IbLla/elRtZjeamh5CC3Sb0YLvixivvYkDFGlZqBNh7r4n6goVvv6/ZlCicN+kL71ALTnpQ dCIZp7CihkLA3UQmoWRBogyxtBPGCTnZncVR1rSTo5nuydC/Eo8kUH+lOoTyyvjoCuZu+PjYIKLD rZybtrM1d10C0QXKEVz48GrQkEeWdFJKlbb0UmEeV0SzyC6RvNB646LWIVjUNeC1zAFb+RDKXksM 9r531bwmpQW9+hQDDsx5pqwDRWBXcFES2hc+gEn/wJGdEEWdE6LoWcoxQweaTNmkhydkKaYMYY2Q p1unbLl/pPKbn3v7EZRvrKvqlja2ONvrtoIw9tn2g32/tQBlhtYkU+MNikZ7QYlPDPuMldpOmuJX nVo5VF3HjI+q89mteX5IDd/fVFClma5kiE4f2XUJhlz09gj2NbTDRifkmu7zXjR++RVJ/lPznuvr QH2iD6S+UewP9Dnon6d2NLwN8n90BB6hC68cFMCHoe+ACASP9c4DowUyEF0OPFcDI0ij/VXPaAKC UfhS95AKGoQ7IBRICI1HwhGaUIQoLGEKT6jCFrLwhSuMoQtlCMMZ2rCGOKShDm+4wxzy8Ic+DGIP B4cIRCKGMCAAOy== ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/frame.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii" An example Program ------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/outline.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="us-ascii"
 No= tes
Slide Show
Outline
= <= /a><= /a>= = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = <= /a>= = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = =
------=_NextPart_01C46FCF.F771F2D0 Content-Location: file:///C:/EB25548D/An_example_Program_files/filelist.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" ------=_NextPart_01C46FCF.F771F2D0--